Ignore:
Timestamp:
Mar 12, 2010, 11:53:40 AM (14 years ago)
Author:
demin
Message:

rename several interface wires

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/MultiChannelUSB/adc_fifo.v

    r90 r97  
    44        )
    55        (
    6                 input   wire                    adc_clk,
     6                input   wire                    adc_clock,
    77                input   wire    [W-1:0] adc_data,
    88
    9                 input   wire                    sys_clk,
    10                 output  wire                    sys_good,
     9                input   wire                    sys_clock,
     10                output  wire                    sys_frame,
    1111                output  wire    [W-1:0] sys_data
    1212        );
     
    1515        reg             [W-1:0] int_data;
    1616       
    17         reg                             state, int_rdreq, int_good;
     17        reg                             state, int_rdreq, int_frame;
    1818        wire                    int_wrfull, int_rdempty;
    1919
     
    3333                .aclr(1'b0),
    3434                .data(adc_data),
    35                 .rdclk(sys_clk),
     35                .rdclk(sys_clock),
    3636                .rdreq((~int_rdempty) & int_rdreq),
    37                 .wrclk(adc_clk),
     37                .wrclk(adc_clock),
    3838                .wrreq(~int_wrfull),
    3939                .q(int_q),
     
    4545                .wrusedw());
    4646
    47         always @(posedge sys_clk)
     47        always @(posedge sys_clock)
    4848        begin
    4949                case (state)
     
    5151                        begin
    5252                                int_rdreq <= 1'b1;
    53                                 int_good <= 1'b0;
     53                                int_frame <= 1'b0;
    5454                                state <= 1'b1;
    5555                        end
     
    6161                                        int_data <= int_q;
    6262                                        int_rdreq <= 1'b0;
    63                                         int_good <= 1'b1;
     63                                        int_frame <= 1'b1;
    6464                                        state <= 1'b0;
    6565                                end
     
    6868        end
    6969       
    70         assign  sys_good = int_good;
     70        assign  sys_frame = int_frame;
    7171        assign  sys_data = int_data;
    7272
Note: See TracChangeset for help on using the changeset viewer.