Ignore:
Timestamp:
Feb 27, 2010, 10:10:19 PM (15 years ago)
Author:
demin
Message:

full rewrite

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/MultiChannelUSB/usb_fifo.v

    r84 r90  
    77                output  wire    [1:0]   usb_addr,
    88               
    9                 input   wire                    clk, aclr,
     9                input   wire                    clk,
    1010                input   wire                    tx_wrreq, rx_rdreq,
    1111                input   wire    [7:0]   tx_data,
     
    3535                .overflow_checking("ON"),
    3636                .underflow_checking("ON"),
    37                 .use_eab("ON"),
     37                .use_eab("OFF"),
    3838                .write_aclr_synch("OFF")) fifo_tx (
    39                 .aclr(aclr),
     39                .aclr(1'b0),
    4040                .data(tx_data),
    4141                .rdclk(usb_clk),
     
    6262                .overflow_checking("ON"),
    6363                .underflow_checking("ON"),
    64                 .use_eab("ON"),
     64                .use_eab("OFF"),
    6565                .write_aclr_synch("OFF")) fifo_rx (
    66                 .aclr(aclr),
     66                .aclr(1'b0),
    6767                .data(int_rx_data),
    6868                .rdclk(clk),
Note: See TracChangeset for help on using the changeset viewer.