Ignore:
Timestamp:
Mar 29, 2010, 5:27:56 PM (14 years ago)
Author:
demin
Message:

rename clk to clock

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/MultiChannelUSB/usb_fifo.v

    r90 r104  
    11module usb_fifo
    22        (
    3                 input   wire                    usb_clk,
     3                input   wire                    usb_clock,
    44                inout   wire    [7:0]   usb_data,
    55                input   wire                    usb_full, usb_empty,
     
    77                output  wire    [1:0]   usb_addr,
    88               
    9                 input   wire                    clk,
     9                input   wire                    clock,
    1010                input   wire                    tx_wrreq, rx_rdreq,
    1111                input   wire    [7:0]   tx_data,
     
    3939                .aclr(1'b0),
    4040                .data(tx_data),
    41                 .rdclk(usb_clk),
     41                .rdclk(usb_clock),
    4242                .rdreq(int_wrreq),
    43                 .wrclk(clk),
     43                .wrclk(clock),
    4444                .wrreq(tx_wrreq),
    4545                .q(int_tx_q),
     
    6666                .aclr(1'b0),
    6767                .data(int_rx_data),
    68                 .rdclk(clk),
     68                .rdclk(clock),
    6969                .rdreq(rx_rdreq),
    70                 .wrclk(usb_clk),
     70                .wrclk(usb_clock),
    7171                .wrreq(int_rdreq),
    7272                .q(rx_q),
     
    8686        assign  int_pktend = (&idle_counter);
    8787
    88         always @ (posedge usb_clk)
     88        always @ (posedge usb_clock)
    8989        begin
    9090                // respect 1 clock delay between fifo selection
Note: See TracChangeset for help on using the changeset viewer.