Ignore:
Timestamp:
Nov 19, 2009, 12:09:21 AM (15 years ago)
Author:
demin
Message:

start testing SRAM

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/MultiChannelUSB/Paella.v

    r63 r65  
    4242
    4343        //      Turn output ports off
     44/*
    4445        assign  RAM_CLK         =       1'b0;
    4546        assign  RAM_CE1         =       1'b0;
    4647        assign  RAM_WE          =       1'b0;
    4748        assign  RAM_ADDR        =       20'h00000;
     49*/
     50        assign  RAM_CLK = CLK_50MHz;
     51        assign  RAM_CE1 = 1'b0;
    4852
    4953        //      Turn inout ports to tri-state
     
    5559        assign  USB_PA3         =       1'bz;
    5660        assign  USB_PA7         =       1'bz;
    57         assign  RAM_DQAP        =       1'bz;
    58         assign  RAM_DQA         =       8'bz;
    59         assign  RAM_DQBP        =       1'bz;
    60         assign  RAM_DQB         =       8'bz;
     61//      assign  RAM_DQAP        =       1'bz;
     62//      assign  RAM_DQA         =       8'bz;
     63//      assign  RAM_DQBP        =       1'bz;
     64//      assign  RAM_DQB         =       8'bz;
    6165
    6266        assign  USB_PA2         =       ~usb_rden;
     
    300304                endcase     
    301305        end
    302 
     306       
    303307        control control_unit (
    304308                .clk(CLK_50MHz),
     
    318322                .tx_wrreq(usb_tx_wrreq),
    319323                .tx_data(usb_tx_data),
     324                .ram_we(RAM_WE),
     325                .ram_addr(RAM_ADDR),
     326                .ram_data({RAM_DQA, RAM_DQAP, RAM_DQB, RAM_DQBP}),
    320327                .led(LED));
    321328
Note: See TracChangeset for help on using the changeset viewer.