Ignore:
Timestamp:
Sep 23, 2009, 9:46:50 PM (15 years ago)
Author:
demin
Message:

add parameters for number of channels and channel resolution

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/MultiChannelUSB/Paella.v

    r59 r63  
    77                inout   wire    [6:0]   CON_A,
    88                inout   wire    [15:0]  CON_B,
    9                 inout   wire    [12:0]  CON_C,
     9                input   wire    [12:0]  CON_C,
    1010                input   wire    [1:0]   CON_BCLK,
    1111                input   wire    [1:0]   CON_CCLK,
     
    5151        assign  CON_A           =       7'bz;
    5252        assign  CON_B           =       16'bz;
    53         assign  CON_C           =       13'bz;
    5453        assign  USB_PA0         =       1'bz;
    5554        assign  USB_PA1         =       1'bz;
     
    129128    wire        [1:0]   uwt_flag [3:0];
    130129   
     130    wire        [16:0]  osc_thrs [3:0];
     131    wire                        adc_pola [3:0];
     132
     133    assign      osc_thrs[0] = 16'd40;
     134    assign      osc_thrs[1] = 16'd300;
     135    assign      osc_thrs[2] = 16'd40;
     136    assign      osc_thrs[3] = 16'd1650;
     137
     138    assign      adc_pola[0] = 1'b1;
     139    assign      adc_pola[1] = 1'b1;
     140    assign      adc_pola[2] = 1'b1;
     141    assign      adc_pola[3] = 1'b0;
     142
    131143    assign      adc_clk[0] = ADC_FCO;
    132144    assign      adc_clk[1] = ADC_FCO;
    133145    assign      adc_clk[2] = ADC_FCO;
    134    
    135     assign      adc_clk[3] = CON_B[0];
    136     assign      adc_data[3] = CON_B[12:1];
     146
     147    assign      adc_clk[3] = ADC_FCO;
     148/*   
     149    assign      adc_clk[3] = CON_CCLK[0];
     150    assign      adc_data[3] = CON_C[11:0];
     151*/
     152        adc_para adc_para_unit (
     153                .lvds_dco(ADC_DCO),
     154                .lvds_fco(ADC_FCO),
     155                .para_data_ready(CON_CCLK[0]),
     156                .para_data(CON_C[11:0]),
     157                .adc_data(adc_data[3]));
     158
    137159/*
    138160        wire                    adc_pll_clk;
     
    147169
    148170        test test_unit(
    149                 .inclk0(CLK_50MHz),
     171                .clk(CLK_50MHz),
    150172                .tst_clk(tst_adc_clk),
    151173                .tst_data(tst_adc_data));
     
    168190*/
    169191
    170         adc_lvds adc_lvds_unit (
     192        adc_lvds #(
     193                .size(3),
     194                .width(12)) adc_lvds_unit (
    171195                .lvds_dco(ADC_DCO),
    172196//              .lvds_dco(adc_pll_clk),
    173197                .lvds_fco(ADC_FCO),
    174198                .lvds_d(ADC_D),
    175                 .adc_db(adc_data[2]),
    176                 .adc_dc(adc_data[1]),
    177                 .adc_dd(adc_data[0]));
     199                .adc_data({     adc_data[0],
     200                                        adc_data[1],
     201                                        adc_data[2]}));
    178202 
    179203        genvar i;
    180204        generate
    181                 for (i = 2; i < 4; i = i + 1)
     205                for (i = 1; i < 4; i = i + 1)
    182206                begin : MCA_CHAIN
    183207                        adc_fifo adc_fifo_unit (
    184208                                .adc_clk(adc_clk[i]),
    185209                                .adc_data(adc_data[i]),
     210                                .polarity(adc_pola[i]),
    186211                                .clk(CLK_50MHz),
    187212                                .ready(adc_data_ready[i]),
     
    203228                                .reset(hst_reset[i]),
    204229                                .data_ready(adc_data_ready[i]),
    205 //                              .data(raw_data[i]),
    206                                 .data(uwt_data[i]),
     230                                .data(raw_data[i]),
     231//                              .data(uwt_data[i]),
    207232                                .address(hst_addr[i]),
    208233                                .q(hst_q[i]));
     
    222247                                .raw_data(raw_data[i]),
    223248                                .uwt_data(uwt_data[i]),
    224                                 .threshold(16'd40),
     249                                .threshold(osc_thrs[i]),
    225250                                .address(osc_addr[i]),
    226251                                .start_address(osc_start_addr[i]),
Note: See TracChangeset for help on using the changeset viewer.