Ignore:
Timestamp:
Sep 12, 2009, 2:04:57 AM (15 years ago)
Author:
demin
Message:

code cleanup

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/MultiChannelUSB/Paella.v

    r41 r42  
    134134        reg     [11:0]  adc_data;
    135135
    136         wire                    adc_lvds_clk;
    137136        wire    [11:0]  adc_lvds_data [2:0];
    138137
     
    161160                .lvds_fco(ADC_FCO),
    162161                .lvds_d(ADC_D),
    163                 .adc_clk(adc_lvds_clk),
    164162                .adc_db(adc_lvds_data[0]),
    165163                .adc_dc(adc_lvds_data[1]),
     
    167165
    168166        adc_fifo adc_fifo_unit (
    169                 .adc_clk(adc_lvds_clk),
     167                .adc_clk(ADC_FCO),
    170168                .adc_data(adc_lvds_data[1]),
    171169                .aclr(adc_fifo_aclr),
Note: See TracChangeset for help on using the changeset viewer.