Ignore:
Timestamp:
Sep 12, 2009, 1:16:31 AM (15 years ago)
Author:
demin
Message:

add one real ADC channel

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/MultiChannelUSB/Paella.v

    r38 r41  
    1313                input   wire                    ADC_DCO,
    1414                input   wire                    ADC_FCO,
    15                 input   wire                    ADC_DB,
    16                 input   wire                    ADC_DC,
    17                 input   wire                    ADC_DD,
     15                input   wire    [2:0]   ADC_D,
    1816
    1917                output  wire                    USB_SLRD,
     
    133131        reg                             adc_data_ready;
    134132        wire                    adc_clk;
     133
    135134        reg     [11:0]  adc_data;
     135
     136        wire                    adc_lvds_clk;
     137        wire    [11:0]  adc_lvds_data [2:0];
     138
    136139    wire        [11:0]  raw_data;
    137140    wire        [11:0]  uwt_data;
     
    141144                .inclk0(CLK_50MHz),
    142145                .c0(adc_clk));
    143 
     146/*
    144147        altserial_flash_loader #(
    145148                .enable_shared_access("OFF"),
     
    153156                .scein(),
    154157                .sdoin());
     158*/
     159        adc_lvds adc_lvds_unit (
     160                .lvds_dco(ADC_DCO),
     161                .lvds_fco(ADC_FCO),
     162                .lvds_d(ADC_D),
     163                .adc_clk(adc_lvds_clk),
     164                .adc_db(adc_lvds_data[0]),
     165                .adc_dc(adc_lvds_data[1]),
     166                .adc_dd(adc_lvds_data[2]));
    155167
    156168        adc_fifo adc_fifo_unit (
    157                 .adc_clk(adc_clk),
    158                 .adc_data(adc_data),
     169                .adc_clk(adc_lvds_clk),
     170                .adc_data(adc_lvds_data[1]),
    159171                .aclr(adc_fifo_aclr),
    160172                .rdclk(CLK_50MHz),
     
    170182                .data(raw_data),
    171183                .address(hst_addr),
    172                 .q(hst_q)
    173         );
     184                .q(hst_q));
    174185       
    175186        oscilloscope oscilloscope_unit (
     
    182193                .address(osc_addr),
    183194                .start_address(osc_start_addr),
    184                 .q(osc_q)
    185         );
     195                .q(osc_q));
    186196
    187197/*
Note: See TracChangeset for help on using the changeset viewer.