Changeset 11 for trunk/PaellaBase/Paella.v
- Timestamp:
- Aug 28, 2009, 11:31:02 PM (15 years ago)
- File:
-
- 1 edited
Legend:
- Unmodified
- Added
- Removed
-
trunk/PaellaBase/Paella.v
r10 r11 2 2 ( 3 3 input wire CLK_50MHz, 4 output wire LED_0 4 output wire LED, 5 6 inout wire [3:0] TRG, 7 inout wire [6:0] CON_A, 8 inout wire [16:0] CON_B, 9 inout wire [11:0] CON_C, 10 input wire [1:0] CON_BCLK, 11 input wire [1:0] CON_CCLK, 12 13 input wire ADC_DCO, 14 input wire ADC_FCO, 15 input wire ADC_DB, 16 input wire ADC_DC, 17 input wire ADC_DD, 18 19 inout wire USB_SLDR, 20 inout wire USB_SLWR, 21 inout wire USB_IFCLK, 22 inout wire USB_FLAGA, 23 inout wire USB_FLAGB, 24 input wire USB_FLAGC, 25 inout wire [7:0] USB_PA, 26 inout wire [7:0] USB_PB, 27 28 inout wire RAM_CLK, 29 inout wire RAM_CE1, 30 inout wire RAM_WE, 31 output wire [19:0] RAM_ADDR, 32 inout wire RAM_DQAP, 33 inout wire [7:0] RAM_DQA, 34 inout wire RAM_DQBP, 35 inout wire [7:0] RAM_DQB 5 36 ); 6 37 7 38 reg [31:0] counter; 8 39 9 assign LED _0= counter[25];40 assign LED = counter[25]; 10 41 11 42 always @ (posedge CLK_50MHz)
Note:
See TracChangeset
for help on using the changeset viewer.