Changeset 13 for trunk/PaellaBase/Paella.v
- Timestamp:
- Aug 28, 2009, 11:49:22 PM (15 years ago)
- File:
-
- 1 edited
Legend:
- Unmodified
- Added
- Removed
-
trunk/PaellaBase/Paella.v
r11 r13 17 17 input wire ADC_DD, 18 18 19 inout wire USB_SLDR,20 inout wire USB_SLWR,21 in out wire USB_IFCLK,22 in out wire USB_FLAGA,23 in out wire USB_FLAGB,19 output wire USB_SLRD, 20 output wire USB_SLWR, 21 input wire USB_IFCLK, 22 input wire USB_FLAGA, 23 input wire USB_FLAGB, 24 24 input wire USB_FLAGC, 25 25 inout wire [7:0] USB_PA, 26 26 inout wire [7:0] USB_PB, 27 27 28 inout wire RAM_CLK,29 inout wire RAM_CE1,30 inout wire RAM_WE,28 output wire RAM_CLK, 29 output wire RAM_CE1, 30 output wire RAM_WE, 31 31 output wire [19:0] RAM_ADDR, 32 32 inout wire RAM_DQAP, … … 36 36 ); 37 37 38 // Turn off all output ports 39 assign USB_SLRD = 1'b0; 40 assign USB_SLWR = 1'b0; 41 assign RAM_CLK = 1'b0; 42 assign RAM_CE1 = 1'b0; 43 assign RAM_WE = 1'b0; 44 45 // All inout ports turn to tri-state 46 assign TRG = 4'bz; 47 assign CON_A = 7'bz; 48 assign CON_B = 17'bz; 49 assign CON_C = 12'bz; 50 assign USB_PA = 8'bz; 51 assign USB_PB = 8'bz; 52 assign RAM_DQAP = 1'bz; 53 assign RAM_DQA = 8'bz; 54 assign RAM_DQBP = 1'bz; 55 assign RAM_DQB = 8'bz; 56 38 57 reg [31:0] counter; 39 58 40 assign LED = counter[25];59 assign LED = counter[25]; 41 60 42 61 always @ (posedge CLK_50MHz)
Note:
See TracChangeset
for help on using the changeset viewer.