source: trunk/PaellaBase/Paella.v@ 10

Last change on this file since 10 was 10, checked in by demin, 15 years ago

initial commit

File size: 222 bytes
Line 
1module Paella
2 (
3 input wire CLK_50MHz,
4 output wire LED_0
5 );
6
7 reg [31:0] counter;
8
9 assign LED_0 = counter[25];
10
11 always @ (posedge CLK_50MHz)
12 begin
13 counter <= counter + 32'd1;
14 end
15
16endmodule
Note: See TracBrowser for help on using the repository browser.