Ignore:
Timestamp:
Sep 15, 2009, 3:27:35 AM (15 years ago)
Author:
demin
Message:

add fourth channel and switch from 32 to 24 bit histogram

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/MultiChannelUSB/histogram.v

    r27 r45  
    44                input   wire                    data_ready,
    55                input   wire    [11:0]  data, address,
    6                 output  wire    [31:0]  q
     6                output  wire    [23:0]  q
    77        );
    88       
     
    1111        reg                             wren_reg, wren_next;
    1212        reg             [11:0]  addr_reg, addr_next;
    13         reg             [31:0]  data_reg, data_next;
     13        reg             [23:0]  data_reg, data_next;
    1414
    15         wire    [31:0]  q_a_wire, q_b_wire;
     15        wire    [23:0]  q_a_wire, q_b_wire;
    1616
    17         ram4096x32 ram4096x32_unit (
     17        ram4096x24 ram4096x24_unit (
    1818                .address_a(addr_reg),
    1919                .address_b(address),
     
    9292                                // increment and write
    9393                                wren_next = 1'b1;
    94                                 data_next = q_a_wire + 32'd1;
     94                                data_next = q_a_wire + 24'd1;
    9595                                state_next = 4'd3;
    9696                        end
     
    104104
    105105        // output logic
    106         assign  q                       =       q_b_wire;
     106        assign  q = q_b_wire;
    107107endmodule
Note: See TracChangeset for help on using the changeset viewer.