Changeset 41 for trunk/MultiChannelUSB


Ignore:
Timestamp:
Sep 12, 2009, 1:16:31 AM (15 years ago)
Author:
demin
Message:

add one real ADC channel

Location:
trunk/MultiChannelUSB
Files:
1 added
3 edited

Legend:

Unmodified
Added
Removed
  • trunk/MultiChannelUSB/Paella.dpf

    r38 r41  
    1111                <pin name="ADC_FCO(n)" direction="Input" source="Assignments" diff_pair_node="ADC_FCO" >
    1212                </pin>
    13                 <pin name="ADC_DB" direction="Input" source="Hierarchy Database" diff_pair_node="ADC_DB(n)" >
     13                <pin name="ADC_D[0]" direction="Input" source="Hierarchy Database" diff_pair_node="ADC_D[0](n)" >
    1414                </pin>
    15                 <pin name="ADC_DB(n)" direction="Input" source="Assignments" diff_pair_node="ADC_DB" >
     15                <pin name="ADC_D[0](n)" direction="Input" source="Assignments" diff_pair_node="ADC_D[0]" >
    1616                </pin>
    17                 <pin name="ADC_DC" direction="Input" source="Hierarchy Database" diff_pair_node="ADC_DC(n)" >
     17                <pin name="ADC_D[1]" direction="Input" source="Hierarchy Database" diff_pair_node="ADC_D[1](n)" >
    1818                </pin>
    19                 <pin name="ADC_DC(n)" direction="Input" source="Assignments" diff_pair_node="ADC_DC" >
     19                <pin name="ADC_D[1](n)" direction="Input" source="Assignments" diff_pair_node="ADC_D[1]" >
    2020                </pin>
    21                 <pin name="ADC_DD" direction="Input" source="Hierarchy Database" diff_pair_node="ADC_DD(n)" >
     21                <pin name="ADC_D[2]" direction="Input" source="Hierarchy Database" diff_pair_node="ADC_D[2](n)" >
    2222                </pin>
    23                 <pin name="ADC_DD(n)" direction="Input" source="Assignments" diff_pair_node="ADC_DD" >
     23                <pin name="ADC_D[2](n)" direction="Input" source="Assignments" diff_pair_node="ADC_D[2]" >
    2424                </pin>
    2525                <pin name="\GEN_ASMI_TYPE_2:asmi_inst~ALTERA_SDO" source="Pin Planner" >
  • trunk/MultiChannelUSB/Paella.qsf

    r39 r41  
    4848set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
    4949set_global_assignment -name VERILOG_FILE adc_fifo.v
     50set_global_assignment -name VERILOG_FILE adc_lvds.v
    5051set_global_assignment -name VERILOG_FILE fifo32x8.v
    5152set_global_assignment -name VERILOG_FILE fifo32x12.v
     
    124125set_location_assignment PIN_94 -to "ADC_FCO(n)"
    125126set_instance_assignment -name IO_STANDARD LVDS -to ADC_FCO
    126 set_location_assignment PIN_98 -to ADC_DB
    127 set_location_assignment PIN_99 -to "ADC_DB(n)"
    128 set_instance_assignment -name IO_STANDARD LVDS -to ADC_DB
    129 set_location_assignment PIN_108 -to ADC_DC
    130 set_location_assignment PIN_109 -to "ADC_DC(n)"
    131 set_instance_assignment -name IO_STANDARD LVDS -to ADC_DC
    132 set_location_assignment PIN_119 -to ADC_DD
    133 set_location_assignment PIN_120 -to "ADC_DD(n)"
    134 set_instance_assignment -name IO_STANDARD LVDS -to ADC_DD
     127set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[0]
     128set_location_assignment PIN_108 -to ADC_D[0]
     129set_location_assignment PIN_109 -to "ADC_D[0](n)"
     130set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[1]
     131set_location_assignment PIN_119 -to ADC_D[2]
     132set_location_assignment PIN_120 -to "ADC_D[2](n)"
     133set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[2]
    135134set_location_assignment PIN_126 -to CON_B[0]
    136135set_location_assignment PIN_127 -to CON_B[1]
  • trunk/MultiChannelUSB/Paella.v

    r38 r41  
    1313                input   wire                    ADC_DCO,
    1414                input   wire                    ADC_FCO,
    15                 input   wire                    ADC_DB,
    16                 input   wire                    ADC_DC,
    17                 input   wire                    ADC_DD,
     15                input   wire    [2:0]   ADC_D,
    1816
    1917                output  wire                    USB_SLRD,
     
    133131        reg                             adc_data_ready;
    134132        wire                    adc_clk;
     133
    135134        reg     [11:0]  adc_data;
     135
     136        wire                    adc_lvds_clk;
     137        wire    [11:0]  adc_lvds_data [2:0];
     138
    136139    wire        [11:0]  raw_data;
    137140    wire        [11:0]  uwt_data;
     
    141144                .inclk0(CLK_50MHz),
    142145                .c0(adc_clk));
    143 
     146/*
    144147        altserial_flash_loader #(
    145148                .enable_shared_access("OFF"),
     
    153156                .scein(),
    154157                .sdoin());
     158*/
     159        adc_lvds adc_lvds_unit (
     160                .lvds_dco(ADC_DCO),
     161                .lvds_fco(ADC_FCO),
     162                .lvds_d(ADC_D),
     163                .adc_clk(adc_lvds_clk),
     164                .adc_db(adc_lvds_data[0]),
     165                .adc_dc(adc_lvds_data[1]),
     166                .adc_dd(adc_lvds_data[2]));
    155167
    156168        adc_fifo adc_fifo_unit (
    157                 .adc_clk(adc_clk),
    158                 .adc_data(adc_data),
     169                .adc_clk(adc_lvds_clk),
     170                .adc_data(adc_lvds_data[1]),
    159171                .aclr(adc_fifo_aclr),
    160172                .rdclk(CLK_50MHz),
     
    170182                .data(raw_data),
    171183                .address(hst_addr),
    172                 .q(hst_q)
    173         );
     184                .q(hst_q));
    174185       
    175186        oscilloscope oscilloscope_unit (
     
    182193                .address(osc_addr),
    183194                .start_address(osc_start_addr),
    184                 .q(osc_q)
    185         );
     195                .q(osc_q));
    186196
    187197/*
Note: See TracChangeset for help on using the changeset viewer.