- Timestamp:
- Aug 31, 2009, 1:50:00 PM (15 years ago)
- Location:
- trunk/PaellaBase
- Files:
-
- 2 edited
Legend:
- Unmodified
- Added
- Removed
-
trunk/PaellaBase/Paella.qsf
r17 r22 138 138 set_location_assignment PIN_146 -to CON_B[14] 139 139 set_location_assignment PIN_147 -to CON_B[15] 140 set_location_assignment PIN_148 -to CON_B[16]141 140 set_location_assignment PIN_149 -to CON_BCLK[0] 142 141 set_location_assignment PIN_150 -to CON_BCLK[1] … … 248 247 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[14] 249 248 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[15] 250 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[16]251 249 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK[0] 252 250 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK[1] -
trunk/PaellaBase/Paella.v
r18 r22 6 6 inout wire [3:0] TRG, 7 7 inout wire [6:0] CON_A, 8 inout wire [1 6:0] CON_B,8 inout wire [15:0] CON_B, 9 9 inout wire [12:0] CON_C, 10 10 input wire [1:0] CON_BCLK, … … 35 35 inout wire [7:0] RAM_DQB 36 36 ); 37 37 38 38 // Turn off all output ports 39 39 assign USB_SLRD = 1'b0;
Note:
See TracChangeset
for help on using the changeset viewer.