Ignore:
Timestamp:
Aug 31, 2009, 1:50:00 PM (15 years ago)
Author:
demin
Message:

remove pin CON_B[16]

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/PaellaBase/Paella.qsf

    r17 r22  
    138138set_location_assignment PIN_146 -to CON_B[14]
    139139set_location_assignment PIN_147 -to CON_B[15]
    140 set_location_assignment PIN_148 -to CON_B[16]
    141140set_location_assignment PIN_149 -to CON_BCLK[0]
    142141set_location_assignment PIN_150 -to CON_BCLK[1]
     
    248247set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[14]
    249248set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[15]
    250 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[16]
    251249set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK[0]
    252250set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK[1]
Note: See TracChangeset for help on using the changeset viewer.