Ignore:
Timestamp:
Aug 31, 2009, 1:50:00 PM (15 years ago)
Author:
demin
Message:

remove pin CON_B[16]

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/PaellaBase/Paella.v

    r18 r22  
    66                inout   wire    [3:0]   TRG,
    77                inout   wire    [6:0]   CON_A,
    8                 inout   wire    [16:0]  CON_B,
     8                inout   wire    [15:0]  CON_B,
    99                inout   wire    [12:0]  CON_C,
    1010                input   wire    [1:0]   CON_BCLK,
     
    3535                inout   wire    [7:0]   RAM_DQB
    3636        );
    37        
     37
    3838        //      Turn off all output ports
    3939        assign  USB_SLRD        =       1'b0;
Note: See TracChangeset for help on using the changeset viewer.