Ignore:
Timestamp:
Aug 29, 2009, 12:42:06 AM (15 years ago)
Author:
demin
Message:

fix pin 162 configuration

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/PaellaBase/Paella.v

    r15 r17  
    77                inout   wire    [6:0]   CON_A,
    88                inout   wire    [16:0]  CON_B,
    9                 inout   wire    [11:0]  CON_C,
     9                inout   wire    [12:0]  CON_C,
    1010                input   wire    [1:0]   CON_BCLK,
    1111                input   wire    [1:0]   CON_CCLK,
Note: See TracChangeset for help on using the changeset viewer.