Changeset 17 for trunk


Ignore:
Timestamp:
Aug 29, 2009, 12:42:06 AM (15 years ago)
Author:
demin
Message:

fix pin 162 configuration

Location:
trunk/PaellaBase
Files:
2 edited

Legend:

Unmodified
Added
Removed
  • trunk/PaellaBase/Paella.qsf

    r16 r17  
    5656set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
    5757set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
     58set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS16
    5859set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V"
    59 set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS16
     60set_global_assignment -name USE_CONFIGURATION_DEVICE ON
     61set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE EPCS16
     62set_global_assignment -name FORCE_CONFIGURATION_VCCIO ON
     63set_global_assignment -name CONFIGURATION_VCCIO_LEVEL 3.3V
     64set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
    6065set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
    6166set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 1
     
    141146set_location_assignment PIN_160 -to CON_C[1]
    142147set_location_assignment PIN_161 -to CON_C[2]
    143 set_location_assignment PIN_164 -to CON_C[3]
    144 set_location_assignment PIN_166 -to CON_C[4]
    145 set_location_assignment PIN_167 -to CON_C[5]
    146 set_location_assignment PIN_168 -to CON_C[6]
    147 set_location_assignment PIN_169 -to CON_C[7]
    148 set_location_assignment PIN_171 -to CON_C[8]
    149 set_location_assignment PIN_173 -to CON_C[9]
    150 set_location_assignment PIN_176 -to CON_C[10]
    151 set_location_assignment PIN_177 -to CON_C[11]
     148set_location_assignment PIN_162 -to CON_C[3]
     149set_location_assignment PIN_164 -to CON_C[4]
     150set_location_assignment PIN_166 -to CON_C[5]
     151set_location_assignment PIN_167 -to CON_C[6]
     152set_location_assignment PIN_168 -to CON_C[7]
     153set_location_assignment PIN_169 -to CON_C[8]
     154set_location_assignment PIN_171 -to CON_C[9]
     155set_location_assignment PIN_173 -to CON_C[10]
     156set_location_assignment PIN_176 -to CON_C[11]
     157set_location_assignment PIN_177 -to CON_C[12]
    152158set_location_assignment PIN_181 -to RAM_DQB[7]
    153159set_location_assignment PIN_182 -to RAM_ADDR[6]
  • trunk/PaellaBase/Paella.v

    r15 r17  
    77                inout   wire    [6:0]   CON_A,
    88                inout   wire    [16:0]  CON_B,
    9                 inout   wire    [11:0]  CON_C,
     9                inout   wire    [12:0]  CON_C,
    1010                input   wire    [1:0]   CON_BCLK,
    1111                input   wire    [1:0]   CON_CCLK,
Note: See TracChangeset for help on using the changeset viewer.