- Timestamp:
- Aug 29, 2009, 12:42:06 AM (15 years ago)
- Location:
- trunk/PaellaBase
- Files:
-
- 2 edited
Legend:
- Unmodified
- Added
- Removed
-
trunk/PaellaBase/Paella.qsf
r16 r17 56 56 set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region" 57 57 set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" 58 set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS16 58 59 set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V" 59 set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS16 60 set_global_assignment -name USE_CONFIGURATION_DEVICE ON 61 set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE EPCS16 62 set_global_assignment -name FORCE_CONFIGURATION_VCCIO ON 63 set_global_assignment -name CONFIGURATION_VCCIO_LEVEL 3.3V 64 set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO" 60 65 set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED" 61 66 set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 1 … … 141 146 set_location_assignment PIN_160 -to CON_C[1] 142 147 set_location_assignment PIN_161 -to CON_C[2] 143 set_location_assignment PIN_164 -to CON_C[3] 144 set_location_assignment PIN_166 -to CON_C[4] 145 set_location_assignment PIN_167 -to CON_C[5] 146 set_location_assignment PIN_168 -to CON_C[6] 147 set_location_assignment PIN_169 -to CON_C[7] 148 set_location_assignment PIN_171 -to CON_C[8] 149 set_location_assignment PIN_173 -to CON_C[9] 150 set_location_assignment PIN_176 -to CON_C[10] 151 set_location_assignment PIN_177 -to CON_C[11] 148 set_location_assignment PIN_162 -to CON_C[3] 149 set_location_assignment PIN_164 -to CON_C[4] 150 set_location_assignment PIN_166 -to CON_C[5] 151 set_location_assignment PIN_167 -to CON_C[6] 152 set_location_assignment PIN_168 -to CON_C[7] 153 set_location_assignment PIN_169 -to CON_C[8] 154 set_location_assignment PIN_171 -to CON_C[9] 155 set_location_assignment PIN_173 -to CON_C[10] 156 set_location_assignment PIN_176 -to CON_C[11] 157 set_location_assignment PIN_177 -to CON_C[12] 152 158 set_location_assignment PIN_181 -to RAM_DQB[7] 153 159 set_location_assignment PIN_182 -to RAM_ADDR[6] -
trunk/PaellaBase/Paella.v
r15 r17 7 7 inout wire [6:0] CON_A, 8 8 inout wire [16:0] CON_B, 9 inout wire [1 1:0] CON_C,9 inout wire [12:0] CON_C, 10 10 input wire [1:0] CON_BCLK, 11 11 input wire [1:0] CON_CCLK,
Note:
See TracChangeset
for help on using the changeset viewer.