Changeset 13 for trunk/PaellaBase


Ignore:
Timestamp:
Aug 28, 2009, 11:49:22 PM (15 years ago)
Author:
demin
Message:

fix pin directions

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/PaellaBase/Paella.v

    r11 r13  
    1717                input   wire                    ADC_DD,
    1818
    19                 inout   wire                    USB_SLDR,
    20                 inout   wire                    USB_SLWR,
    21                 inout   wire                    USB_IFCLK,
    22                 inout   wire                    USB_FLAGA,
    23                 inout   wire                    USB_FLAGB,
     19                output  wire                    USB_SLRD,
     20                output  wire                    USB_SLWR,
     21                input   wire                    USB_IFCLK,
     22                input   wire                    USB_FLAGA,
     23                input   wire                    USB_FLAGB,
    2424                input   wire                    USB_FLAGC,
    2525                inout   wire    [7:0]   USB_PA,
    2626                inout   wire    [7:0]   USB_PB,
    2727
    28                 inout   wire                    RAM_CLK,
    29                 inout   wire                    RAM_CE1,
    30                 inout   wire                    RAM_WE,
     28                output  wire                    RAM_CLK,
     29                output  wire                    RAM_CE1,
     30                output  wire                    RAM_WE,
    3131                output  wire    [19:0]  RAM_ADDR,
    3232                inout   wire                    RAM_DQAP,
     
    3636        );
    3737       
     38        //      Turn off all output ports
     39        assign  USB_SLRD        =       1'b0;
     40        assign  USB_SLWR        =       1'b0;
     41        assign  RAM_CLK         =       1'b0;
     42        assign  RAM_CE1         =       1'b0;
     43        assign  RAM_WE          =       1'b0;
     44
     45        //      All inout ports turn to tri-state
     46        assign  TRG                     =       4'bz;
     47        assign  CON_A           =       7'bz;
     48        assign  CON_B           =       17'bz;
     49        assign  CON_C           =       12'bz;
     50        assign  USB_PA          =       8'bz;
     51        assign  USB_PB          =       8'bz;
     52        assign  RAM_DQAP        =       1'bz;
     53        assign  RAM_DQA         =       8'bz;
     54        assign  RAM_DQBP        =       1'bz;
     55        assign  RAM_DQB         =       8'bz;
     56
    3857        reg             [31:0]  counter;
    3958       
    40         assign  LED             =       counter[25];
     59        assign  LED                     =       counter[25];
    4160
    4261        always @ (posedge CLK_50MHz)
Note: See TracChangeset for help on using the changeset viewer.