Changeset 12 for trunk/PaellaBase


Ignore:
Timestamp:
Aug 28, 2009, 11:49:10 PM (15 years ago)
Author:
demin
Message:

fix pin names

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/PaellaBase/Paella.qsf

    r11 r12  
    6969set_location_assignment PIN_21 -to LED
    7070set_location_assignment PIN_33 -to CLK_50MHz
    71 set_location_assignment PIN_46 -to USB_PA[0]
    72 set_location_assignment PIN_45 -to USB_PA[1]
    73 set_location_assignment PIN_44 -to USB_PA[2]
    74 set_location_assignment PIN_43 -to USB_PA[3]
    7571set_location_assignment PIN_37 -to USB_PA[7]
    7672set_location_assignment PIN_38 -to USB_PA[6]
     
    8884set_location_assignment PIN_56 -to USB_PB[5]
    8985set_location_assignment PIN_57 -to USB_PB[4]
    90 set_location_assignment PIN_63 -to USB_SLDR
     86set_location_assignment PIN_63 -to USB_SLRD
    9187set_location_assignment PIN_64 -to USB_SLWR
    9288set_location_assignment PIN_65 -to USB_IFCLK
     
    216212set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[1]
    217213set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[0]
    218 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_SLDR
     214set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_SLRD
    219215set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_SLWR
    220216set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_IFCLK
Note: See TracChangeset for help on using the changeset viewer.