Changeset 11 for trunk


Ignore:
Timestamp:
Aug 28, 2009, 11:31:02 PM (15 years ago)
Author:
demin
Message:

fix pin assignment

Location:
trunk/PaellaBase
Files:
2 edited

Legend:

Unmodified
Added
Removed
  • trunk/PaellaBase/Paella.qsf

    r10 r11  
    6767set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 7
    6868set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 8
    69 set_location_assignment PIN_21 -to LED_0
     69set_location_assignment PIN_21 -to LED
    7070set_location_assignment PIN_33 -to CLK_50MHz
    7171set_location_assignment PIN_46 -to USB_PA[0]
     
    7373set_location_assignment PIN_44 -to USB_PA[2]
    7474set_location_assignment PIN_43 -to USB_PA[3]
     75set_location_assignment PIN_37 -to USB_PA[7]
     76set_location_assignment PIN_38 -to USB_PA[6]
     77set_location_assignment PIN_39 -to USB_PA[5]
    7578set_location_assignment PIN_41 -to USB_PA[4]
    76 set_location_assignment PIN_39 -to USB_PA[5]
    77 set_location_assignment PIN_38 -to USB_PA[6]
    78 set_location_assignment PIN_37 -to USB_PA[7]
     79set_location_assignment PIN_43 -to USB_PA[3]
     80set_location_assignment PIN_44 -to USB_PA[2]
     81set_location_assignment PIN_45 -to USB_PA[1]
     82set_location_assignment PIN_46 -to USB_PA[0]
    7983set_location_assignment PIN_49 -to USB_FLAGC
    8084set_location_assignment PIN_50 -to USB_FLAGB
     
    9195set_location_assignment PIN_70 -to USB_PB[2]
    9296set_location_assignment PIN_71 -to USB_PB[3]
    93 set_location_assignment PIN_72 -to I2C_SDA
    94 set_location_assignment PIN_73 -to I2C_SCL
    95 set_location_assignment PIN_76 -to CONV_A[0]
    96 set_location_assignment PIN_78 -to CONV_A[1]
    97 set_location_assignment PIN_80 -to CONV_A[2]
    98 set_location_assignment PIN_81 -to CONV_A[3]
    99 set_location_assignment PIN_82 -to TRG[0]
    100 set_location_assignment PIN_83 -to TRG[1]
    101 set_location_assignment PIN_84 -to TRG[2]
    102 set_location_assignment PIN_87 -to TRG[3]
    103 set_location_assignment PIN_88 -to ADC_DTP
     97set_location_assignment PIN_72 -to CON_A[0]
     98set_location_assignment PIN_73 -to CON_A[1]
     99set_location_assignment PIN_76 -to CON_A[2]
     100set_location_assignment PIN_78 -to CON_A[3]
     101set_location_assignment PIN_80 -to CON_A[4]
     102set_location_assignment PIN_81 -to CON_A[5]
     103set_location_assignment PIN_82 -to CON_A[6]
     104set_location_assignment PIN_83 -to TRG[0]
     105set_location_assignment PIN_84 -to TRG[1]
     106set_location_assignment PIN_87 -to TRG[2]
     107set_location_assignment PIN_88 -to TRG[3]
    104108set_location_assignment PIN_91 -to ADC_DCO
    105109set_location_assignment PIN_92 -to "ADC_DCO(n)"
     
    134138set_location_assignment PIN_147 -to CON_B[15]
    135139set_location_assignment PIN_148 -to CON_B[16]
    136 set_location_assignment PIN_149 -to CON_BCLK[1]
    137 set_location_assignment PIN_150 -to CON_BCLK[2]
    138 set_location_assignment PIN_152 -to CON_CCLK[2]
    139 set_location_assignment PIN_151 -to CON_CCLK[1]
     140set_location_assignment PIN_149 -to CON_BCLK[0]
     141set_location_assignment PIN_150 -to CON_BCLK[1]
     142set_location_assignment PIN_151 -to CON_CCLK[0]
     143set_location_assignment PIN_152 -to CON_CCLK[1]
    140144set_location_assignment PIN_159 -to CON_C[0]
    141145set_location_assignment PIN_160 -to CON_C[1]
     
    151155set_location_assignment PIN_177 -to CON_C[11]
    152156set_location_assignment PIN_181 -to RAM_DQB[7]
    153 set_location_assignment PIN_182 -to RAM_A[6]
    154 set_location_assignment PIN_183 -to RAM_A[7]
     157set_location_assignment PIN_182 -to RAM_ADDR[6]
     158set_location_assignment PIN_183 -to RAM_ADDR[7]
    155159set_location_assignment PIN_184 -to RAM_CE1
    156160set_location_assignment PIN_186 -to RAM_CLK
    157161set_location_assignment PIN_187 -to RAM_WE
    158 set_location_assignment PIN_188 -to RAM_A[8]
    159 set_location_assignment PIN_189 -to RAM_A[9]
    160 set_location_assignment PIN_194 -to RAM_A[10]
    161 set_location_assignment PIN_195 -to RAM_A[11]
    162 set_location_assignment PIN_196 -to RAM_A[12]
     162set_location_assignment PIN_188 -to RAM_ADDR[8]
     163set_location_assignment PIN_189 -to RAM_ADDR[9]
     164set_location_assignment PIN_194 -to RAM_ADDR[10]
     165set_location_assignment PIN_195 -to RAM_ADDR[11]
     166set_location_assignment PIN_196 -to RAM_ADDR[12]
    163167set_location_assignment PIN_197 -to RAM_DQPA
    164168set_location_assignment PIN_200 -to RAM_DQA[0]
     
    170174set_location_assignment PIN_216 -to RAM_DQA[6]
    171175set_location_assignment PIN_217 -to RAM_DQA[7]
    172 set_location_assignment PIN_218 -to RAM_A[13]
    173 set_location_assignment PIN_219 -to RAM_A[14]
    174 set_location_assignment PIN_221 -to RAM_A[15]
    175 set_location_assignment PIN_223 -to RAM_A[16]
    176 set_location_assignment PIN_224 -to RAM_A[17]
    177 set_location_assignment PIN_226 -to RAM_A[18]
    178 set_location_assignment PIN_230 -to RAM_A[19]
    179 set_location_assignment PIN_231 -to RAM_A[0]
    180 set_location_assignment PIN_232 -to RAM_A[1]
    181 set_location_assignment PIN_233 -to RAM_A[2]
    182 set_location_assignment PIN_234 -to RAM_A[3]
    183 set_location_assignment PIN_235 -to RAM_A[4]
    184 set_location_assignment PIN_236 -to RAM_A[5]
     176set_location_assignment PIN_218 -to RAM_ADDR[13]
     177set_location_assignment PIN_219 -to RAM_ADDR[14]
     178set_location_assignment PIN_221 -to RAM_ADDR[15]
     179set_location_assignment PIN_223 -to RAM_ADDR[16]
     180set_location_assignment PIN_224 -to RAM_ADDR[17]
     181set_location_assignment PIN_226 -to RAM_ADDR[18]
     182set_location_assignment PIN_230 -to RAM_ADDR[19]
     183set_location_assignment PIN_231 -to RAM_ADDR[0]
     184set_location_assignment PIN_232 -to RAM_ADDR[1]
     185set_location_assignment PIN_233 -to RAM_ADDR[2]
     186set_location_assignment PIN_234 -to RAM_ADDR[3]
     187set_location_assignment PIN_235 -to RAM_ADDR[4]
     188set_location_assignment PIN_236 -to RAM_ADDR[5]
     189set_location_assignment PIN_237 -to RAM_DQBP
    185190set_location_assignment PIN_238 -to RAM_DQB[0]
    186 set_location_assignment PIN_237 -to RAM_DQBP
    187191set_location_assignment PIN_239 -to RAM_DQB[1]
    188192set_location_assignment PIN_240 -to RAM_DQB[2]
     
    191195set_location_assignment PIN_6 -to RAM_DQB[5]
    192196set_location_assignment PIN_9 -to RAM_DQB[6]
    193 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED_0
     197set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED
    194198set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLK_50MHz
    195199set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGA
     200set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGB
     201set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGC
    196202set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA[7]
    197203set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA[6]
     
    202208set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA[1]
    203209set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA[0]
    204 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGC
    205 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGB
    206210set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[7]
    207211set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[6]
    208212set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[5]
    209213set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[4]
     214set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[3]
     215set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[2]
     216set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[1]
     217set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[0]
    210218set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_SLDR
    211219set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_SLWR
    212220set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_IFCLK
    213 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[0]
    214 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[1]
    215 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[2]
    216 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SDA
    217 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SCL
    218 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CONV_A[0]
    219 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CONV_A[1]
    220 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CONV_A[2]
    221 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CONV_A[3]
     221set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[0]
     222set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[1]
     223set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[2]
     224set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[3]
     225set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[4]
     226set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[5]
     227set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[6]
    222228set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[0]
    223229set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[1]
    224230set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[2]
    225 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_DTP
     231set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[3]
    226232set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[0]
    227233set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[1]
     
    241247set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[15]
    242248set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[16]
     249set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK[0]
    243250set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK[1]
    244 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK[2]
    245251set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[0]
    246252set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[1]
     
    256262set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[11]
    257263set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[12]
     264set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_CCLK[0]
    258265set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_CCLK[1]
    259 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_CCLK[2]
    260 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A[0]
    261 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A[1]
    262 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A[2]
    263 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A[3]
    264 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A[4]
    265 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A[5]
    266 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A[6]
    267 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A[7]
    268 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A[8]
    269 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A[9]
    270 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A[10]
    271 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A[11]
    272 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A[12]
    273 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A[13]
    274 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A[14]
    275 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A[15]
    276 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A[16]
    277 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A[17]
    278 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A[18]
    279 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A[19]
     266set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[0]
     267set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[1]
     268set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[2]
     269set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[3]
     270set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[4]
     271set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[5]
     272set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[6]
     273set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[7]
     274set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[8]
     275set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[9]
     276set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[10]
     277set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[11]
     278set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[12]
     279set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[13]
     280set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[14]
     281set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[15]
     282set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[16]
     283set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[17]
     284set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[18]
     285set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[19]
     286set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_CLK
    280287set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_CE1
    281 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_CLK
     288set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_WE
     289set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQPA
    282290set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[0]
    283291set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[1]
     
    288296set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[6]
    289297set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[7]
     298set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQBP
    290299set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[0]
    291300set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[1]
    292301set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[2]
    293 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[7]
    294 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQBP
    295 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQPA
    296 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_WE
    297 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[3]
    298 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[3]
    299302set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[3]
    300303set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[4]
    301304set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[5]
    302305set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[6]
     306set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[7]
  • trunk/PaellaBase/Paella.v

    r10 r11  
    22        (
    33                input   wire                    CLK_50MHz,
    4                 output  wire                    LED_0
     4                output  wire                    LED,
     5
     6                inout   wire    [3:0]   TRG,
     7                inout   wire    [6:0]   CON_A,
     8                inout   wire    [16:0]  CON_B,
     9                inout   wire    [11:0]  CON_C,
     10                input   wire    [1:0]   CON_BCLK,
     11                input   wire    [1:0]   CON_CCLK,
     12
     13                input   wire                    ADC_DCO,
     14                input   wire                    ADC_FCO,
     15                input   wire                    ADC_DB,
     16                input   wire                    ADC_DC,
     17                input   wire                    ADC_DD,
     18
     19                inout   wire                    USB_SLDR,
     20                inout   wire                    USB_SLWR,
     21                inout   wire                    USB_IFCLK,
     22                inout   wire                    USB_FLAGA,
     23                inout   wire                    USB_FLAGB,
     24                input   wire                    USB_FLAGC,
     25                inout   wire    [7:0]   USB_PA,
     26                inout   wire    [7:0]   USB_PB,
     27
     28                inout   wire                    RAM_CLK,
     29                inout   wire                    RAM_CE1,
     30                inout   wire                    RAM_WE,
     31                output  wire    [19:0]  RAM_ADDR,
     32                inout   wire                    RAM_DQAP,
     33                inout   wire    [7:0]   RAM_DQA,
     34                inout   wire                    RAM_DQBP,
     35                inout   wire    [7:0]   RAM_DQB
    536        );
    637       
    738        reg             [31:0]  counter;
    839       
    9         assign  LED_0   =       counter[25];
     40        assign  LED             =       counter[25];
    1041
    1142        always @ (posedge CLK_50MHz)
Note: See TracChangeset for help on using the changeset viewer.