- Timestamp:
- Aug 28, 2009, 11:31:02 PM (15 years ago)
- Location:
- trunk/PaellaBase
- Files:
-
- 2 edited
Legend:
- Unmodified
- Added
- Removed
-
trunk/PaellaBase/Paella.qsf
r10 r11 67 67 set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 7 68 68 set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 8 69 set_location_assignment PIN_21 -to LED _069 set_location_assignment PIN_21 -to LED 70 70 set_location_assignment PIN_33 -to CLK_50MHz 71 71 set_location_assignment PIN_46 -to USB_PA[0] … … 73 73 set_location_assignment PIN_44 -to USB_PA[2] 74 74 set_location_assignment PIN_43 -to USB_PA[3] 75 set_location_assignment PIN_37 -to USB_PA[7] 76 set_location_assignment PIN_38 -to USB_PA[6] 77 set_location_assignment PIN_39 -to USB_PA[5] 75 78 set_location_assignment PIN_41 -to USB_PA[4] 76 set_location_assignment PIN_39 -to USB_PA[5] 77 set_location_assignment PIN_38 -to USB_PA[6] 78 set_location_assignment PIN_37 -to USB_PA[7] 79 set_location_assignment PIN_43 -to USB_PA[3] 80 set_location_assignment PIN_44 -to USB_PA[2] 81 set_location_assignment PIN_45 -to USB_PA[1] 82 set_location_assignment PIN_46 -to USB_PA[0] 79 83 set_location_assignment PIN_49 -to USB_FLAGC 80 84 set_location_assignment PIN_50 -to USB_FLAGB … … 91 95 set_location_assignment PIN_70 -to USB_PB[2] 92 96 set_location_assignment PIN_71 -to USB_PB[3] 93 set_location_assignment PIN_72 -to I2C_SDA94 set_location_assignment PIN_73 -to I2C_SCL95 set_location_assignment PIN_76 -to CON V_A[0]96 set_location_assignment PIN_78 -to CON V_A[1]97 set_location_assignment PIN_80 -to CON V_A[2]98 set_location_assignment PIN_81 -to CON V_A[3]99 set_location_assignment PIN_82 -to TRG[0]100 set_location_assignment PIN_83 -to TRG[ 1]101 set_location_assignment PIN_84 -to TRG[ 2]102 set_location_assignment PIN_87 -to TRG[ 3]103 set_location_assignment PIN_88 -to ADC_DTP97 set_location_assignment PIN_72 -to CON_A[0] 98 set_location_assignment PIN_73 -to CON_A[1] 99 set_location_assignment PIN_76 -to CON_A[2] 100 set_location_assignment PIN_78 -to CON_A[3] 101 set_location_assignment PIN_80 -to CON_A[4] 102 set_location_assignment PIN_81 -to CON_A[5] 103 set_location_assignment PIN_82 -to CON_A[6] 104 set_location_assignment PIN_83 -to TRG[0] 105 set_location_assignment PIN_84 -to TRG[1] 106 set_location_assignment PIN_87 -to TRG[2] 107 set_location_assignment PIN_88 -to TRG[3] 104 108 set_location_assignment PIN_91 -to ADC_DCO 105 109 set_location_assignment PIN_92 -to "ADC_DCO(n)" … … 134 138 set_location_assignment PIN_147 -to CON_B[15] 135 139 set_location_assignment PIN_148 -to CON_B[16] 136 set_location_assignment PIN_149 -to CON_BCLK[ 1]137 set_location_assignment PIN_150 -to CON_BCLK[ 2]138 set_location_assignment PIN_15 2 -to CON_CCLK[2]139 set_location_assignment PIN_15 1-to CON_CCLK[1]140 set_location_assignment PIN_149 -to CON_BCLK[0] 141 set_location_assignment PIN_150 -to CON_BCLK[1] 142 set_location_assignment PIN_151 -to CON_CCLK[0] 143 set_location_assignment PIN_152 -to CON_CCLK[1] 140 144 set_location_assignment PIN_159 -to CON_C[0] 141 145 set_location_assignment PIN_160 -to CON_C[1] … … 151 155 set_location_assignment PIN_177 -to CON_C[11] 152 156 set_location_assignment PIN_181 -to RAM_DQB[7] 153 set_location_assignment PIN_182 -to RAM_A [6]154 set_location_assignment PIN_183 -to RAM_A [7]157 set_location_assignment PIN_182 -to RAM_ADDR[6] 158 set_location_assignment PIN_183 -to RAM_ADDR[7] 155 159 set_location_assignment PIN_184 -to RAM_CE1 156 160 set_location_assignment PIN_186 -to RAM_CLK 157 161 set_location_assignment PIN_187 -to RAM_WE 158 set_location_assignment PIN_188 -to RAM_A [8]159 set_location_assignment PIN_189 -to RAM_A [9]160 set_location_assignment PIN_194 -to RAM_A [10]161 set_location_assignment PIN_195 -to RAM_A [11]162 set_location_assignment PIN_196 -to RAM_A [12]162 set_location_assignment PIN_188 -to RAM_ADDR[8] 163 set_location_assignment PIN_189 -to RAM_ADDR[9] 164 set_location_assignment PIN_194 -to RAM_ADDR[10] 165 set_location_assignment PIN_195 -to RAM_ADDR[11] 166 set_location_assignment PIN_196 -to RAM_ADDR[12] 163 167 set_location_assignment PIN_197 -to RAM_DQPA 164 168 set_location_assignment PIN_200 -to RAM_DQA[0] … … 170 174 set_location_assignment PIN_216 -to RAM_DQA[6] 171 175 set_location_assignment PIN_217 -to RAM_DQA[7] 172 set_location_assignment PIN_218 -to RAM_A[13] 173 set_location_assignment PIN_219 -to RAM_A[14] 174 set_location_assignment PIN_221 -to RAM_A[15] 175 set_location_assignment PIN_223 -to RAM_A[16] 176 set_location_assignment PIN_224 -to RAM_A[17] 177 set_location_assignment PIN_226 -to RAM_A[18] 178 set_location_assignment PIN_230 -to RAM_A[19] 179 set_location_assignment PIN_231 -to RAM_A[0] 180 set_location_assignment PIN_232 -to RAM_A[1] 181 set_location_assignment PIN_233 -to RAM_A[2] 182 set_location_assignment PIN_234 -to RAM_A[3] 183 set_location_assignment PIN_235 -to RAM_A[4] 184 set_location_assignment PIN_236 -to RAM_A[5] 176 set_location_assignment PIN_218 -to RAM_ADDR[13] 177 set_location_assignment PIN_219 -to RAM_ADDR[14] 178 set_location_assignment PIN_221 -to RAM_ADDR[15] 179 set_location_assignment PIN_223 -to RAM_ADDR[16] 180 set_location_assignment PIN_224 -to RAM_ADDR[17] 181 set_location_assignment PIN_226 -to RAM_ADDR[18] 182 set_location_assignment PIN_230 -to RAM_ADDR[19] 183 set_location_assignment PIN_231 -to RAM_ADDR[0] 184 set_location_assignment PIN_232 -to RAM_ADDR[1] 185 set_location_assignment PIN_233 -to RAM_ADDR[2] 186 set_location_assignment PIN_234 -to RAM_ADDR[3] 187 set_location_assignment PIN_235 -to RAM_ADDR[4] 188 set_location_assignment PIN_236 -to RAM_ADDR[5] 189 set_location_assignment PIN_237 -to RAM_DQBP 185 190 set_location_assignment PIN_238 -to RAM_DQB[0] 186 set_location_assignment PIN_237 -to RAM_DQBP187 191 set_location_assignment PIN_239 -to RAM_DQB[1] 188 192 set_location_assignment PIN_240 -to RAM_DQB[2] … … 191 195 set_location_assignment PIN_6 -to RAM_DQB[5] 192 196 set_location_assignment PIN_9 -to RAM_DQB[6] 193 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED _0197 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED 194 198 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLK_50MHz 195 199 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGA 200 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGB 201 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGC 196 202 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA[7] 197 203 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA[6] … … 202 208 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA[1] 203 209 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA[0] 204 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGC205 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGB206 210 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[7] 207 211 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[6] 208 212 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[5] 209 213 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[4] 214 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[3] 215 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[2] 216 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[1] 217 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[0] 210 218 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_SLDR 211 219 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_SLWR 212 220 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_IFCLK 213 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[0] 214 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[1] 215 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[2] 216 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SDA 217 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SCL 218 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CONV_A[0] 219 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CONV_A[1] 220 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CONV_A[2] 221 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CONV_A[3] 221 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[0] 222 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[1] 223 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[2] 224 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[3] 225 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[4] 226 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[5] 227 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[6] 222 228 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[0] 223 229 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[1] 224 230 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[2] 225 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_DTP231 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[3] 226 232 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[0] 227 233 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[1] … … 241 247 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[15] 242 248 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[16] 249 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK[0] 243 250 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK[1] 244 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK[2]245 251 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[0] 246 252 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[1] … … 256 262 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[11] 257 263 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[12] 264 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_CCLK[0] 258 265 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_CCLK[1] 259 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_CCLK[2]260 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A [0]261 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A [1]262 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A [2]263 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A [3]264 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A [4]265 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A [5]266 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A [6]267 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A [7]268 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A [8]269 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A [9]270 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A [10]271 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A [11]272 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A [12]273 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A [13]274 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A [14]275 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A [15]276 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A [16]277 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A [17]278 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_A [18]279 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ A[19]266 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[0] 267 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[1] 268 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[2] 269 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[3] 270 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[4] 271 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[5] 272 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[6] 273 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[7] 274 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[8] 275 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[9] 276 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[10] 277 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[11] 278 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[12] 279 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[13] 280 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[14] 281 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[15] 282 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[16] 283 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[17] 284 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[18] 285 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[19] 286 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_CLK 280 287 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_CE1 281 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_CLK 288 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_WE 289 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQPA 282 290 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[0] 283 291 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[1] … … 288 296 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[6] 289 297 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[7] 298 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQBP 290 299 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[0] 291 300 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[1] 292 301 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[2] 293 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[7]294 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQBP295 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQPA296 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_WE297 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[3]298 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[3]299 302 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[3] 300 303 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[4] 301 304 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[5] 302 305 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[6] 306 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[7] -
trunk/PaellaBase/Paella.v
r10 r11 2 2 ( 3 3 input wire CLK_50MHz, 4 output wire LED_0 4 output wire LED, 5 6 inout wire [3:0] TRG, 7 inout wire [6:0] CON_A, 8 inout wire [16:0] CON_B, 9 inout wire [11:0] CON_C, 10 input wire [1:0] CON_BCLK, 11 input wire [1:0] CON_CCLK, 12 13 input wire ADC_DCO, 14 input wire ADC_FCO, 15 input wire ADC_DB, 16 input wire ADC_DC, 17 input wire ADC_DD, 18 19 inout wire USB_SLDR, 20 inout wire USB_SLWR, 21 inout wire USB_IFCLK, 22 inout wire USB_FLAGA, 23 inout wire USB_FLAGB, 24 input wire USB_FLAGC, 25 inout wire [7:0] USB_PA, 26 inout wire [7:0] USB_PB, 27 28 inout wire RAM_CLK, 29 inout wire RAM_CE1, 30 inout wire RAM_WE, 31 output wire [19:0] RAM_ADDR, 32 inout wire RAM_DQAP, 33 inout wire [7:0] RAM_DQA, 34 inout wire RAM_DQBP, 35 inout wire [7:0] RAM_DQB 5 36 ); 6 37 7 38 reg [31:0] counter; 8 39 9 assign LED _0= counter[25];40 assign LED = counter[25]; 10 41 11 42 always @ (posedge CLK_50MHz)
Note:
See TracChangeset
for help on using the changeset viewer.