Fork me on GitHub
Timestamp:
Sep 28, 2014, 10:16:15 PM (10 years ago)
Author:
Christophe Delaere <christophe.delaere@…>
Branches:
ImprovedOutputFile, Timing, dual_readout, llp, master
Children:
1d42548
Parents:
bf6ed57
Message:

Made the configuration more flexible

The names of modules used in the tcl files are now optionnally set when
loading the cfg. It allows to read the FCC file despites it being quite
different.
Still, for now only one calorimeter can be displayed.

(No files)

Note: See TracChangeset for help on using the changeset viewer.