Ignore:
Timestamp:
Nov 25, 2009, 11:02:29 PM (15 years ago)
Author:
demin
Message:

testing all components together

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/MultiChannelUSB/analyser.v

    r50 r72  
    55                input   wire    [1:0]   uwt_flag,
    66                input   wire    [11:0]  uwt_data,
    7                 input   wire    [11:0]  threshold,
    87                output  wire                    peak_ready,
    98                output  wire    [11:0]  peak
     
    1413        reg                             peak_ready_reg, peak_ready_next;
    1514        reg             [11:0]  peak_reg, peak_next;
    16         reg             [15:0]  buffer [15:0];
    17         wire    [15:0]  sample;
    18         wire    [11:0]  baseline;
    1915
    20         integer                 i;
    21 
    22         assign  sample = {4'd0, uwt_data};
    23         assign  baseline = buffer[15][15:4];
    24        
    2516        always @(posedge clk)
    2617        begin
     
    3122                        peak_ready_reg <= 1'b0;
    3223                        peak_reg <= 12'd0;
    33 
    34                         for(i = 0; i <= 15; i = i + 1)
    35                         begin
    36                                 buffer[i] <= 12'd0;
    37                         end
    3824                end
    3925                else
     
    4228                        counter_reg <= counter_next;
    4329                        peak_ready_reg <= peak_ready_next;
    44                         peak_reg <= peak_next;
    45                        
    46                         if (data_ready & uwt_flag[1])
    47                         begin
    48                                 for(i = 0; i < 15; i = i + 1)
    49                                 begin
    50                                         buffer[i+1] <= buffer[i] + sample;
    51                                 end
    52                                 buffer[0] <= sample;
    53                         end
     30                        peak_reg <= peak_next;                 
    5431                end
    5532        end
     
    9269                                if (data_ready & uwt_flag[0])
    9370                                begin
    94                                         peak_next = (uwt_data > baseline) ? (uwt_data - baseline) : 12'd0;
    95                                         peak_ready_next = (peak_next > threshold);
     71                                        peak_next = uwt_data;
     72                                        peak_ready_next = 1'b1;
    9673                end
    9774                else
Note: See TracChangeset for help on using the changeset viewer.