Changeset 38


Ignore:
Timestamp:
Sep 8, 2009, 1:02:15 PM (15 years ago)
Author:
demin
Message:

add serial flash loader

Location:
trunk/MultiChannelUSB
Files:
3 edited

Legend:

Unmodified
Added
Removed
  • trunk/MultiChannelUSB/Paella.dpf

    r27 r38  
    33<pin_planner>
    44        <pin_info>
    5                 <pin name="ADC_DCO" source="Assignments" diff_pair_node="ADC_DCO(n)" >
     5                <pin name="ADC_DCO" direction="Input" source="Hierarchy Database" diff_pair_node="ADC_DCO(n)" >
    66                </pin>
    7                 <pin name="ADC_DCO(n)" source="Assignments" diff_pair_node="ADC_DCO" >
     7                <pin name="ADC_DCO(n)" direction="Input" source="Assignments" diff_pair_node="ADC_DCO" >
    88                </pin>
    9                 <pin name="ADC_FCO" source="Assignments" diff_pair_node="ADC_FCO(n)" >
     9                <pin name="ADC_FCO" direction="Input" source="Hierarchy Database" diff_pair_node="ADC_FCO(n)" >
    1010                </pin>
    11                 <pin name="ADC_FCO(n)" source="Assignments" diff_pair_node="ADC_FCO" >
     11                <pin name="ADC_FCO(n)" direction="Input" source="Assignments" diff_pair_node="ADC_FCO" >
    1212                </pin>
    13                 <pin name="ADC_DB" source="Assignments" diff_pair_node="ADC_DB(n)" >
     13                <pin name="ADC_DB" direction="Input" source="Hierarchy Database" diff_pair_node="ADC_DB(n)" >
    1414                </pin>
    15                 <pin name="ADC_DB(n)" source="Assignments" diff_pair_node="ADC_DB" >
     15                <pin name="ADC_DB(n)" direction="Input" source="Assignments" diff_pair_node="ADC_DB" >
    1616                </pin>
    17                 <pin name="ADC_DC" source="Assignments" diff_pair_node="ADC_DC(n)" >
     17                <pin name="ADC_DC" direction="Input" source="Hierarchy Database" diff_pair_node="ADC_DC(n)" >
    1818                </pin>
    19                 <pin name="ADC_DC(n)" source="Assignments" diff_pair_node="ADC_DC" >
     19                <pin name="ADC_DC(n)" direction="Input" source="Assignments" diff_pair_node="ADC_DC" >
    2020                </pin>
    21                 <pin name="ADC_DD" source="Assignments" diff_pair_node="ADC_DD(n)" >
     21                <pin name="ADC_DD" direction="Input" source="Hierarchy Database" diff_pair_node="ADC_DD(n)" >
    2222                </pin>
    23                 <pin name="ADC_DD(n)" source="Assignments" diff_pair_node="ADC_DD" >
     23                <pin name="ADC_DD(n)" direction="Input" source="Assignments" diff_pair_node="ADC_DD" >
     24                </pin>
     25                <pin name="\GEN_ASMI_TYPE_2:asmi_inst~ALTERA_SDO" source="Pin Planner" >
    2426                </pin>
    2527        </pin_info>
  • trunk/MultiChannelUSB/Paella.qsf

    r29 r38  
    5454set_global_assignment -name VERILOG_FILE oscilloscope.v
    5555set_global_assignment -name VERILOG_FILE pll.v
     56set_global_assignment -name VERILOG_FILE sfl.v
    5657set_global_assignment -name VERILOG_FILE ram1024x16.v
    5758set_global_assignment -name VERILOG_FILE ram4096x32.v
     
    6869set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
    6970set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS16
    70 set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V"
     71set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
    7172set_global_assignment -name USE_CONFIGURATION_DEVICE ON
    7273set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE EPCS16
  • trunk/MultiChannelUSB/Paella.v

    r37 r38  
    141141                .inclk0(CLK_50MHz),
    142142                .c0(adc_clk));
     143
     144        altserial_flash_loader #(
     145                .enable_shared_access("OFF"),
     146                .enhanced_mode(1),
     147                .intended_device_family("Cyclone III")) sfl_unit (
     148                .noe(1'b0),
     149                .asmi_access_granted(),
     150                .asmi_access_request(),
     151                .data0out(),
     152                .dclkin(),
     153                .scein(),
     154                .sdoin());
    143155
    144156        adc_fifo adc_fifo_unit (
Note: See TracChangeset for help on using the changeset viewer.