Changeset 180 for trunk/3DEES/Paella.qsf


Ignore:
Timestamp:
Jan 15, 2014, 1:30:27 AM (11 years ago)
Author:
demin
Message:

add classifier and remove unneeded modules

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/3DEES/Paella.qsf

    r179 r180  
    9797set_location_assignment PIN_56 -to USB_PB[2]
    9898set_location_assignment PIN_57 -to USB_PB[3]
    99 set_location_assignment PIN_78 -to PWM[0]
    100 set_location_assignment PIN_80 -to PWM[1]
    101 set_location_assignment PIN_81 -to PWM[2]
    102 set_location_assignment PIN_82 -to PWM[3]
    103 set_location_assignment PIN_114 -to SPI_SEL[0]
    104 set_location_assignment PIN_117 -to ADC_RST
    105 set_location_assignment PIN_118 -to SPI_CLK
    106 set_location_assignment PIN_119 -to SPI_SDO
    107 set_location_assignment PIN_120 -to SPI_SEL[1]
    10899set_location_assignment PIN_137 -to "ADC_D[0](n)"
    109100set_location_assignment PIN_139 -to ADC_D[0]
     
    191182set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_SLWR
    192183set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_IFCLK
    193 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PWM[0]
    194 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PWM[1]
    195 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PWM[2]
    196 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PWM[3]
    197 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SPI_SEL[0]
    198 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_RST
    199 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SPI_CLK
    200 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SPI_SDO
    201 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SPI_SEL[1]
    202184set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[0]
    203185set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[1]
     
    242224set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[6]
    243225set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[7]
    244 set_instance_assignment -name AUTO_OPEN_DRAIN_PINS ON -to PWM[0]
    245 set_instance_assignment -name AUTO_OPEN_DRAIN_PINS ON -to PWM[1]
    246 set_instance_assignment -name AUTO_OPEN_DRAIN_PINS ON -to PWM[2]
    247 set_instance_assignment -name AUTO_OPEN_DRAIN_PINS ON -to PWM[3]
    248226
    249227set_global_assignment -name MISC_FILE "D:/altera/MultiChannelUSB/Paella.dpf"
     
    254232set_global_assignment -name VERILOG_FILE control.v
    255233set_global_assignment -name VERILOG_FILE analyser.v
     234set_global_assignment -name VERILOG_FILE classifier.v
    256235set_global_assignment -name VERILOG_FILE amplitude.v
    257236set_global_assignment -name VERILOG_FILE deconv.v
     
    261240set_global_assignment -name VERILOG_FILE counter.v
    262241set_global_assignment -name VERILOG_FILE histogram32.v
    263 set_global_assignment -name VERILOG_FILE histogram16.v
    264242set_global_assignment -name VERILOG_FILE trigger.v
    265243set_global_assignment -name VERILOG_FILE oscilloscope.v
    266244set_global_assignment -name VERILOG_FILE configuration.v
    267245set_global_assignment -name VERILOG_FILE usb_fifo.v
    268 set_global_assignment -name VERILOG_FILE i2c_fifo.v
    269 set_global_assignment -name VERILOG_FILE spi_fifo.v
    270246set_global_assignment -name VERILOG_FILE test.v
    271247set_global_assignment -name MIF_FILE test.mif
     
    274250set_global_assignment -name VERILOG_FILE new_filter.v
    275251set_global_assignment -name VERILOG_FILE clip.v
    276 set_global_assignment -name VERILOG_FILE pwm.v
    277252set_global_assignment -name MISC_FILE "D:/altera/3DEES-6ch/Paella.dpf"
    278253set_global_assignment -name FMAX_REQUIREMENT "100 MHz" -section_id "100 MHz Clock"
    279254set_instance_assignment -name CLOCK_SETTINGS "100 MHz Clock" -to CLK_100MHz
     255set_global_assignment -name MISC_FILE "D:/altera/3DEES/Paella.dpf"
    280256set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
Note: See TracChangeset for help on using the changeset viewer.