Ignore:
Timestamp:
Jan 11, 2012, 4:32:57 PM (13 years ago)
Author:
demin
Message:

adapt to paella v2

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/MultiChannelUSB/Paella.qsf

    r101 r159  
    4242set_global_assignment -name ORIGINAL_QUARTUS_VERSION 9.0
    4343set_global_assignment -name PROJECT_CREATION_TIME_DATE "14:14:14  AUGUST 28, 2009"
    44 set_global_assignment -name LAST_QUARTUS_VERSION 9.0
     44set_global_assignment -name LAST_QUARTUS_VERSION "9.1 SP2"
    4545set_global_assignment -name DEVICE_FILTER_PACKAGE "ANY QFP"
    4646set_global_assignment -name DEVICE_FILTER_PIN_COUNT 240
     
    4949set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION OFF
    5050set_global_assignment -name MISC_FILE Paella.dpf
    51 set_global_assignment -name VERILOG_FILE Paella.v
    52 set_global_assignment -name VERILOG_FILE adc_fifo.v
    53 set_global_assignment -name VERILOG_FILE adc_lvds.v
    54 set_global_assignment -name VERILOG_FILE adc_para.v
    55 set_global_assignment -name VERILOG_FILE adc_pll.v
    56 set_global_assignment -name VERILOG_FILE control.v
    57 set_global_assignment -name VERILOG_FILE analyser.v
    58 set_global_assignment -name VERILOG_FILE counter.v
    59 set_global_assignment -name VERILOG_FILE histogram.v
    60 set_global_assignment -name VERILOG_FILE trigger.v
    61 set_global_assignment -name VERILOG_FILE oscilloscope.v
    62 set_global_assignment -name VERILOG_FILE configuration.v
    63 set_global_assignment -name VERILOG_FILE usb_fifo.v
    64 set_global_assignment -name VERILOG_FILE i2c_fifo.v
    65 set_global_assignment -name VERILOG_FILE uwt_bior31.v
    66 set_global_assignment -name VERILOG_FILE test.v
    67 set_global_assignment -name VERILOG_FILE test_pll.v
    68 set_global_assignment -name VERILOG_FILE sys_pll.v
    6951set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER OFF
    7052set_global_assignment -name ENABLE_CLOCK_LATENCY ON
    71 set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
    7253set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
    7354set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
     
    9273set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 8
    9374set_location_assignment PIN_21 -to LED
    94 set_location_assignment PIN_33 -to CLK_50MHz
    9575set_location_assignment PIN_37 -to USB_PA7
    9676set_location_assignment PIN_38 -to USB_PA6
     
    157137set_location_assignment PIN_146 -to CON_B[14]
    158138set_location_assignment PIN_147 -to CON_B[15]
    159 set_location_assignment PIN_149 -to CON_BCLK[0]
    160 set_location_assignment PIN_150 -to CON_BCLK[1]
     139set_location_assignment PIN_148 -to CON_B[16]
     140set_location_assignment PIN_149 -to CON_BCLK
     141set_location_assignment PIN_150 -to CLK_50MHz
    161142set_location_assignment PIN_151 -to CON_CCLK[0]
    162143set_location_assignment PIN_152 -to CON_CCLK[1]
     
    266247set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[14]
    267248set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[15]
    268 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK[0]
    269 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK[1]
     249set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK
    270250set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[0]
    271251set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[1]
     
    324304set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[6]
    325305set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[7]
     306
     307set_global_assignment -name VERILOG_FILE clip.v
     308set_global_assignment -name VERILOG_FILE shift.v
     309set_global_assignment -name VERILOG_FILE Paella.v
     310set_global_assignment -name VERILOG_FILE adc_lvds.v
     311set_global_assignment -name VERILOG_FILE sys_pll.v
     312set_global_assignment -name VERILOG_FILE control.v
     313set_global_assignment -name VERILOG_FILE filter.v
     314set_global_assignment -name VERILOG_FILE amplitude.v
     315set_global_assignment -name VERILOG_FILE delay.v
     316set_global_assignment -name VERILOG_FILE coincidence.v
     317set_global_assignment -name VERILOG_FILE counter.v
     318set_global_assignment -name VERILOG_FILE histogram16.v
     319set_global_assignment -name VERILOG_FILE histogram32.v
     320set_global_assignment -name VERILOG_FILE trigger.v
     321set_global_assignment -name VERILOG_FILE oscilloscope.v
     322set_global_assignment -name VERILOG_FILE configuration.v
     323set_global_assignment -name VERILOG_FILE usb_fifo.v
     324set_global_assignment -name VERILOG_FILE i2c_fifo.v
     325set_global_assignment -name VERILOG_FILE test.v
     326
     327set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
Note: See TracChangeset for help on using the changeset viewer.