source: trunk/MultiChannelUSB/histogram.v@ 92

Last change on this file since 92 was 90, checked in by demin, 15 years ago

full rewrite

File size: 4.2 KB
RevLine 
[27]1module histogram
2 (
[90]3 input wire clock, frame, reset,
4
5 input wire [40:0] cfg_data,
6
7 input wire hst_good,
8 input wire [11:0] hst_data,
9
10 input wire bus_ssel, bus_wren,
11 input wire [12:0] bus_addr,
12 input wire [15:0] bus_mosi,
13
14 output wire [15:0] bus_miso,
15 output wire bus_busy
[27]16 );
17
18 // signal declaration
[90]19 reg [3:0] int_case_reg, int_case_next;
20 reg int_wren_reg, int_wren_next;
21 reg [11:0] int_addr_reg, int_addr_next;
22 reg [31:0] int_data_reg, int_data_next;
[27]23
[90]24 reg [12:0] bus_addr_reg, bus_addr_next;
25 reg [15:0] bus_miso_reg, bus_miso_next;
[27]26
[90]27 reg bus_wren_reg, bus_wren_next;
28 reg [15:0] bus_mosi_reg, bus_mosi_next;
29
30 wire [31:0] q_a_wire;
31 wire [15:0] q_b_wire;
32
[47]33 altsyncram #(
34 .address_reg_b("CLOCK0"),
35 .clock_enable_input_a("BYPASS"),
36 .clock_enable_input_b("BYPASS"),
37 .clock_enable_output_a("BYPASS"),
38 .clock_enable_output_b("BYPASS"),
39 .indata_reg_b("CLOCK0"),
40 .intended_device_family("Cyclone III"),
41 .lpm_type("altsyncram"),
42 .numwords_a(4096),
[90]43 .numwords_b(8192),
[47]44 .operation_mode("BIDIR_DUAL_PORT"),
45 .outdata_aclr_a("NONE"),
46 .outdata_aclr_b("NONE"),
[84]47 .outdata_reg_a("CLOCK0"),
48 .outdata_reg_b("CLOCK0"),
[47]49 .power_up_uninitialized("FALSE"),
50 .read_during_write_mode_mixed_ports("OLD_DATA"),
[90]51 .read_during_write_mode_port_a("NEW_DATA_NO_NBE_READ"),
52 .read_during_write_mode_port_b("NEW_DATA_NO_NBE_READ"),
[47]53 .widthad_a(12),
[90]54 .widthad_b(13),
55 .width_a(32),
56 .width_b(16),
[47]57 .width_byteena_a(1),
58 .width_byteena_b(1),
[51]59 .wrcontrol_wraddress_reg_b("CLOCK0")) hst_ram_unit(
[90]60 .wren_a(int_wren_reg),
61 .clock0(clock),
62 .wren_b(bus_wren_reg),
63 .address_a(int_addr_reg),
64 .address_b(bus_addr_reg),
65 .data_a(int_data_reg),
66 .data_b(bus_mosi_reg),
[51]67 .q_a(q_a_wire),
68 .q_b(q_b_wire),
69 .aclr0(1'b0),
70 .aclr1(1'b0),
71 .addressstall_a(1'b0),
72 .addressstall_b(1'b0),
73 .byteena_a(1'b1),
74 .byteena_b(1'b1),
75 .clock1(1'b1),
76 .clocken0(1'b1),
77 .clocken1(1'b1),
78 .clocken2(1'b1),
79 .clocken3(1'b1),
80 .eccstatus(),
81 .rden_a(1'b1),
82 .rden_b(1'b1));
[27]83
84 // body
[90]85 always @(posedge clock)
[27]86 begin
87 if (reset)
88 begin
[90]89 int_wren_reg <= 1'b1;
90 int_addr_reg <= 12'd0;
91 int_data_reg <= 32'd0;
92 int_case_reg <= 4'b1;
93 bus_addr_reg <= 13'd0;
94 bus_miso_reg <= 16'd0;
95 bus_wren_reg <= 1'b0;
96 bus_mosi_reg <= 16'd0;
[27]97 end
98 else
99 begin
[90]100 int_wren_reg <= int_wren_next;
101 int_addr_reg <= int_addr_next;
102 int_data_reg <= int_data_next;
103 int_case_reg <= int_case_next;
104 bus_addr_reg <= bus_addr_next;
105 bus_miso_reg <= bus_miso_next;
106 bus_wren_reg <= bus_wren_next;
107 bus_mosi_reg <= bus_mosi_next;
108 end
109 end
110
111 always @*
112 begin
113 bus_addr_next = bus_addr_reg;
114 bus_miso_next = bus_miso_reg;
115
116 bus_wren_next = 1'b0;
117 bus_mosi_next = bus_mosi_reg;
118
119 if (bus_ssel)
120 begin
121 bus_miso_next = q_b_wire;
122 bus_addr_next = bus_addr;
123 bus_wren_next = bus_wren;
124 if (bus_wren)
125 begin
126 bus_mosi_next = bus_mosi;
127 end
[27]128 end
129 end
130
131 always @*
132 begin
[90]133 int_wren_next = int_wren_reg;
134 int_addr_next = int_addr_reg;
135 int_data_next = int_data_reg;
136 int_case_next = int_case_reg;
137
138 case (int_case_reg)
[51]139 0:
[27]140 begin
[90]141 int_wren_next = 1'b0;
142 int_addr_next = 12'd0;
143 int_data_next = 32'd0;
[27]144 end
[51]145
146 1:
[27]147 begin
148 // write zeros
[90]149 if (&int_addr_reg)
[27]150 begin
[90]151 int_wren_next = 1'b0;
152 int_case_next = 4'd2;
[27]153 end
154 else
155 begin
[90]156 int_addr_next = int_addr_reg + 12'd1;
[27]157 end
[51]158 end
159
160 2:
[27]161 begin
[90]162 int_wren_next = 1'b0;
163 if (&int_data_reg)
[27]164 begin
[90]165 int_case_next = 4'd0;
[27]166 end
[90]167 else if (frame & hst_good)
168 begin
169 int_addr_next = hst_data;
170 int_case_next = 4'd3;
171 end
[27]172 end
173
[51]174 3:
[27]175 begin
[90]176 int_case_next = 4'd4;
[27]177 end
178
[84]179 4:
180 begin
[90]181 int_case_next = 4'd5;
[88]182 end
183
184 5:
185 begin
[90]186 int_wren_next = 1'b1;
187 int_data_next = q_a_wire + 32'd1;
188 int_case_next = 4'd2;
[84]189 end
190
[27]191 default:
192 begin
[90]193 int_wren_next = 1'b0;
194 int_addr_next = 12'd0;
195 int_data_next = 32'd0;
196 int_case_next = 4'd0;
[27]197 end
198 endcase
199 end
200
201 // output logic
[90]202 assign bus_miso = bus_miso_reg;
203 assign bus_busy = 1'b0;
[27]204endmodule
Note: See TracBrowser for help on using the repository browser.