source: trunk/MultiChannelUSB/Paella.v@ 45

Last change on this file since 45 was 45, checked in by demin, 15 years ago

add fourth channel and switch from 32 to 24 bit histogram

File size: 9.8 KB
Line 
1module Paella
2 (
3 input wire CLK_50MHz,
4 output wire LED,
5
6 inout wire [3:0] TRG,
7 inout wire [6:0] CON_A,
8 inout wire [15:0] CON_B,
9 inout wire [12:0] CON_C,
10 input wire [1:0] CON_BCLK,
11 input wire [1:0] CON_CCLK,
12
13 input wire ADC_DCO,
14 input wire ADC_FCO,
15 input wire [2:0] ADC_D,
16
17 output wire USB_SLRD,
18 output wire USB_SLWR,
19 input wire USB_IFCLK,
20 input wire USB_FLAGA, // EMPTY flag for EP6
21 input wire USB_FLAGB, // FULL flag for EP8
22 input wire USB_FLAGC,
23 inout wire USB_PA0,
24 inout wire USB_PA1,
25 output wire USB_PA2,
26 inout wire USB_PA3,
27 output wire USB_PA4,
28 output wire USB_PA5,
29 output wire USB_PA6,
30 inout wire USB_PA7,
31 inout wire [7:0] USB_PB,
32
33 output wire RAM_CLK,
34 output wire RAM_CE1,
35 output wire RAM_WE,
36 output wire [19:0] RAM_ADDR,
37 inout wire RAM_DQAP,
38 inout wire [7:0] RAM_DQA,
39 inout wire RAM_DQBP,
40 inout wire [7:0] RAM_DQB
41 );
42
43 // Turn output ports off
44 assign RAM_CLK = 1'b0;
45 assign RAM_CE1 = 1'b0;
46 assign RAM_WE = 1'b0;
47 assign RAM_ADDR = 20'h00000;
48
49 // Turn inout ports to tri-state
50 assign TRG = 4'bz;
51 assign CON_A = 7'bz;
52 assign CON_B = 16'bz;
53 assign CON_C = 13'bz;
54 assign USB_PA0 = 1'bz;
55 assign USB_PA1 = 1'bz;
56 assign USB_PA3 = 1'bz;
57 assign USB_PA7 = 1'bz;
58 assign RAM_DQAP = 1'bz;
59 assign RAM_DQA = 8'bz;
60 assign RAM_DQBP = 1'bz;
61 assign RAM_DQB = 8'bz;
62
63
64 assign USB_PA2 = ~usb_rden;
65 assign USB_PA4 = usb_addr[0];
66 assign USB_PA5 = usb_addr[1];
67 assign USB_PA6 = ~usb_pktend;
68
69 reg [31:0] counter;
70 reg led_reg;
71// assign LED = counter[24];
72 assign LED = led_reg;
73
74 wire usb_wrreq, usb_rdreq, usb_rden, usb_pktend;
75 wire usb_fifo_aclr;
76 reg usb_fifo_tx_wrreq;
77 reg usb_fifo_rx_rdreq;
78 wire usb_fifo_tx_full, usb_fifo_rx_empty;
79 reg [7:0] usb_fifo_tx_data;
80 wire [7:0] usb_fifo_rx_data;
81 wire [1:0] usb_addr;
82
83 assign USB_SLRD = ~usb_rdreq;
84 assign USB_SLWR = ~usb_wrreq;
85
86 usb_fifo usb_fifo_unit
87 (
88 .usb_clk(USB_IFCLK),
89 .usb_data(USB_PB),
90 .usb_full(~USB_FLAGB),
91 .usb_empty(~USB_FLAGA),
92 .usb_wrreq(usb_wrreq),
93 .usb_rdreq(usb_rdreq),
94 .usb_rden(usb_rden),
95 .usb_pktend(usb_pktend),
96 .usb_addr(usb_addr),
97
98 .clk(CLK_50MHz),
99 .aclr(usb_fifo_aclr),
100
101 .tx_full(usb_fifo_tx_full),
102 .tx_wrreq((~usb_fifo_tx_full) & usb_fifo_tx_wrreq),
103 .tx_data(usb_fifo_tx_data),
104
105 .rx_empty(usb_fifo_rx_empty),
106 .rx_rdreq((~usb_fifo_rx_empty) & usb_fifo_rx_rdreq),
107 .rx_q(usb_fifo_rx_data)
108 );
109
110 reg [23:0] rx_counter;
111 reg [10:0] tst_counter;
112
113 reg ana_reset [3:0];
114 wire ana_peak_ready [3:0];
115 wire [11:0] ana_peak [3:0];
116
117 reg [9:0] osc_counter;
118
119 reg osc_reset [3:0];
120 wire [9:0] osc_start_addr [3:0];
121 reg [9:0] osc_addr [3:0];
122 wire [15:0] osc_q [3:0];
123 reg [15:0] osc_q_mux;
124
125 reg hst_reset [3:0];
126 reg [11:0] hst_addr [3:0];
127 wire [23:0] hst_q [3:0];
128
129 reg mux_reset, mux_type;
130 reg [1:0] mux_chan, mux_byte, mux_max_byte;
131 reg [15:0] mux_addr, mux_min_addr, mux_max_addr;
132 reg [7:0] mux_q;
133
134 reg [3:0] state1, state2;
135 reg adc_fifo_aclr;
136
137 wire adc_clk [3:0];
138
139// reg [11:0] adc_data;
140
141 wire adc_data_ready [3:0];
142 wire [11:0] adc_data [3:0];
143
144 wire [11:0] raw_data [3:0];
145 wire [11:0] uwt_data [3:0];
146 wire [1:0] uwt_flag [3:0];
147
148 assign adc_clk[0] = ADC_FCO;
149 assign adc_clk[1] = ADC_FCO;
150 assign adc_clk[2] = ADC_FCO;
151 assign adc_clk[3] = CON_B[0];
152 assign adc_data[3] = CON_B[12:1];
153/*
154 pll pll_unit(
155 .inclk0(CLK_50MHz),
156 .c0(adc_clk));
157*/
158/*
159 altserial_flash_loader #(
160 .enable_shared_access("OFF"),
161 .enhanced_mode(1),
162 .intended_device_family("Cyclone III")) sfl_unit (
163 .noe(1'b0),
164 .asmi_access_granted(),
165 .asmi_access_request(),
166 .data0out(),
167 .dclkin(),
168 .scein(),
169 .sdoin());
170*/
171 adc_lvds adc_lvds_unit (
172 .lvds_dco(ADC_DCO),
173 .lvds_fco(ADC_FCO),
174 .lvds_d(ADC_D),
175 .adc_db(adc_data[0]),
176 .adc_dc(adc_data[1]),
177 .adc_dd(adc_data[2]));
178
179 genvar i;
180 generate
181 for (i = 0; i < 4; i = i + 1)
182 begin : MCA_CHAIN
183 adc_fifo adc_fifo_unit (
184 .adc_clk(adc_clk[i]),
185 .adc_data(adc_data[i]),
186 .aclr(adc_fifo_aclr),
187 .rdclk(CLK_50MHz),
188 .ready(adc_data_ready[i]),
189 .raw_data(raw_data[i]),
190 .uwt_data({uwt_flag[i], uwt_data[i]}));
191
192 analyser analyser_unit (
193 .clk(CLK_50MHz),
194 .reset(ana_reset[i]),
195 .data_ready(adc_data_ready[i]),
196 .uwt_flag(uwt_flag[i]),
197 .uwt_data(uwt_data[i]),
198 .peak_ready(ana_peak_ready[i]),
199 .peak(ana_peak[i]));
200/*
201 histogram histogram_unit (
202 .clk(CLK_50MHz),
203 .reset(hst_reset[i]),
204 .data_ready(adc_data_ready[i]),
205 .data(raw_data[i]),
206 .address(hst_addr[i]),
207 .q(hst_q[i]));
208*/
209 histogram histogram_unit (
210 .clk(CLK_50MHz),
211 .reset(hst_reset[i]),
212 .data_ready(ana_peak_ready[i]),
213 .data(ana_peak[i]),
214 .address(hst_addr[i]),
215 .q(hst_q[i]));
216
217 oscilloscope oscilloscope_unit (
218 .clk(CLK_50MHz),
219 .reset(osc_reset[i]),
220 .data_ready(adc_data_ready[i]),
221 .raw_data(raw_data[i]),
222 .uwt_data(uwt_data[i]),
223 .threshold(16'd100),
224 .address(osc_addr[i]),
225 .start_address(osc_start_addr[i]),
226 .q(osc_q[i]));
227 end
228 endgenerate
229
230/*
231 always @ (posedge adc_clk)
232 begin
233 counter <= counter + 32'd1;
234 end
235*/
236
237 always @*
238 begin
239 osc_reset[0] = 1'b0;
240 osc_addr[0] = 10'b0;
241 osc_reset[1] = 1'b0;
242 osc_addr[1] = 10'b0;
243 osc_reset[2] = 1'b0;
244 osc_addr[2] = 10'b0;
245 osc_reset[3] = 1'b0;
246 osc_addr[3] = 10'b0;
247 hst_reset[0] = 1'b0;
248 hst_addr[0] = 12'b0;
249 hst_reset[1] = 1'b0;
250 hst_addr[1] = 12'b0;
251 hst_reset[2] = 1'b0;
252 hst_addr[2] = 12'b0;
253 hst_reset[3] = 1'b0;
254 hst_addr[3] = 12'b0;
255 case({mux_type,mux_chan})
256 3'b000, 3'b001, 3'b010, 3'b011:
257 begin
258 osc_reset[mux_chan] = mux_reset;
259 osc_addr[mux_chan] = mux_addr[9:0];
260 mux_max_byte = 2'd1;
261 mux_min_addr = {6'd0, osc_start_addr[mux_chan]};
262 mux_max_addr = {6'd0, osc_start_addr[mux_chan]} + 16'd1023;
263 end
264
265 3'b100, 3'b101, 3'b110, 3'b111:
266 begin
267 hst_reset[mux_chan] = mux_reset;
268 hst_addr[mux_chan] = mux_addr[11:0];
269 mux_max_byte = 2'd2;
270 mux_min_addr = 16'd0;
271 mux_max_addr = 16'd4095;
272 end
273 endcase
274 end
275
276 always @*
277 begin
278 case ({mux_type,mux_byte})
279 5'b000: mux_q = osc_q[mux_chan][7:0];
280 5'b001: mux_q = osc_q[mux_chan][15:8];
281
282 5'b100: mux_q = hst_q[mux_chan][7:0];
283 5'b101: mux_q = hst_q[mux_chan][15:8];
284 5'b110: mux_q = hst_q[mux_chan][23:16];
285
286 default: mux_q = 8'd0;
287 endcase
288 end
289
290
291 always @(posedge CLK_50MHz)
292 begin
293 if (~usb_fifo_rx_empty)
294 begin
295 led_reg <= 1'b0;
296 rx_counter <= 24'd0;
297 end
298 else
299 begin
300 if (&rx_counter)
301 begin
302 led_reg <= 1'b1;
303 end
304 else
305 begin
306 rx_counter <= rx_counter + 24'd1;
307 end
308 end
309
310 case(state1)
311 1:
312 begin
313 usb_fifo_rx_rdreq <= 1'b1;
314 usb_fifo_tx_wrreq <= 1'b0;
315 mux_type <= 1'b0;
316 mux_chan <= 2'd0;
317 mux_byte <= 2'd0;
318 mux_reset <= 1'b0;
319 state1 <= 4'd2;
320 end
321
322 2:
323 begin
324 if (~usb_fifo_rx_empty)
325 begin
326 case (usb_fifo_rx_data)
327 8'h40, 8'h41, 8'h42, 8'h43, 8'h50, 8'h51, 8'h52, 8'h53:
328 begin
329 usb_fifo_rx_rdreq <= 1'b0;
330 mux_type <= usb_fifo_rx_data[4];
331 mux_chan <= usb_fifo_rx_data[1:0];
332 mux_reset <= 1'b1;
333 state1 <= 4'd1;
334 end
335
336 8'h60, 8'h61, 8'h62, 8'h63, 8'h70, 8'h71, 8'h72, 8'h73:
337 begin
338 usb_fifo_rx_rdreq <= 1'b0;
339 mux_type <= usb_fifo_rx_data[4];
340 mux_chan <= usb_fifo_rx_data[1:0];
341 state1 <= 4'd3;
342 end
343
344 8'h30:
345 begin
346 usb_fifo_rx_rdreq <= 1'b0;
347 state1 <= 4'd1;
348 end
349
350 8'h31:
351 begin
352 usb_fifo_rx_rdreq <= 1'b0;
353 tst_counter <= 11'd0;
354 state1 <= 4'd9;
355 end
356 endcase
357 end
358 end
359 // mux transfer
360 3:
361 begin
362 mux_addr <= mux_min_addr;
363 mux_byte <= 2'd0;
364 state1 <= 4'd4;
365 end
366 4:
367 begin
368 usb_fifo_tx_data <= mux_q;
369 usb_fifo_tx_wrreq <= 1'b1;
370 mux_byte <= 2'd1;
371 state1 <= 4'd5;
372 end
373 5:
374 begin
375 if (~usb_fifo_tx_full)
376 begin
377 usb_fifo_tx_data <= mux_q;
378 if ((mux_byte == mux_max_byte) && (mux_addr == mux_max_addr))
379 begin
380 state1 <= 4'd6;
381 end
382 else
383 begin
384 if (mux_byte == mux_max_byte)
385 begin
386 mux_addr <= mux_addr + 16'd1;
387 mux_byte <= 2'd0;
388 end
389 else
390 begin
391 mux_byte <= mux_byte + 2'd1;
392 end
393 end
394 end
395 end
396 6:
397 begin
398 if (~usb_fifo_tx_full)
399 begin
400 usb_fifo_tx_wrreq <= 1'b0;
401 state1 <= 4'd1;
402 end
403 end
404 // tst transfer
405 7:
406 begin
407 usb_fifo_tx_data <= tst_counter;
408 usb_fifo_tx_wrreq <= 1'b1;
409 tst_counter <= tst_counter + 11'd1;
410 state1 <= 4'd8;
411 end
412 8:
413 begin
414 if (~usb_fifo_tx_full)
415 begin
416 usb_fifo_tx_data <= tst_counter;
417 if (tst_counter == 11'd0) //(&osc_counter)
418 begin
419 state1 <= 4'd9;
420 end
421 else
422 begin
423 tst_counter <= tst_counter + 11'd1;
424 end
425 end
426 end
427 9:
428 begin
429 if (~usb_fifo_tx_full)
430 begin
431 usb_fifo_tx_wrreq <= 1'b0;
432 state1 <= 4'd1;
433 end
434 end
435
436 default:
437 begin
438 state1 <= 4'd1;
439 end
440 endcase
441 end
442/*
443 always @ (posedge adc_clk)
444 begin
445 case (state2)
446 1:
447 begin
448 adc_data <= 12'd0;
449 state2 <= 4'd2;
450 end
451
452 2:
453 begin
454 adc_data <= 12'd1024;
455 state2 <= 4'd3;
456 end
457
458 3:
459 begin
460 adc_data <= 12'd2048;
461 state2 <= 4'd4;
462 end
463
464 4:
465 begin
466 adc_data <= 12'd3072;
467 state2 <= 4'd5;
468 end
469
470 5:
471 begin
472 adc_data <= 12'd4095;
473 state2 <= 4'd1;
474 end
475
476 default:
477 begin
478 state2 <= 4'd1;
479 end
480 endcase
481 end
482*/
483endmodule
Note: See TracBrowser for help on using the repository browser.