source: trunk/MultiChannelUSB/Paella.qsf@ 162

Last change on this file since 162 was 159, checked in by demin, 13 years ago

adapt to paella v2

File size: 18.0 KB
Line 
1# -------------------------------------------------------------------------- #
2#
3# Copyright (C) 1991-2009 Altera Corporation
4# Your use of Altera Corporation's design tools, logic functions
5# and other software and tools, and its AMPP partner logic
6# functions, and any output files from any of the foregoing
7# (including device programming or simulation files), and any
8# associated documentation or information are expressly subject
9# to the terms and conditions of the Altera Program License
10# Subscription Agreement, Altera MegaCore Function License
11# Agreement, or other applicable license agreement, including,
12# without limitation, that your use is for the sole purpose of
13# programming logic devices manufactured by Altera and sold by
14# Altera or its authorized distributors. Please refer to the
15# applicable agreement for further details.
16#
17# -------------------------------------------------------------------------- #
18#
19# Quartus II
20# Version 9.0 Build 132 02/25/2009 SJ Web Edition
21# Date created = 14:14:14 August 28, 2009
22#
23# -------------------------------------------------------------------------- #
24#
25# Notes:
26#
27# 1) The default values for assignments are stored in the file:
28# Paella_assignment_defaults.qdf
29# If this file doesn't exist, see file:
30# assignment_defaults.qdf
31#
32# 2) Altera recommends that you do not modify this file. This
33# file is updated automatically by the Quartus II software
34# and any changes you make may be lost or overwritten.
35#
36# -------------------------------------------------------------------------- #
37
38
39set_global_assignment -name FAMILY "Cyclone III"
40set_global_assignment -name DEVICE EP3C25Q240C8
41set_global_assignment -name TOP_LEVEL_ENTITY Paella
42set_global_assignment -name ORIGINAL_QUARTUS_VERSION 9.0
43set_global_assignment -name PROJECT_CREATION_TIME_DATE "14:14:14 AUGUST 28, 2009"
44set_global_assignment -name LAST_QUARTUS_VERSION "9.1 SP2"
45set_global_assignment -name DEVICE_FILTER_PACKAGE "ANY QFP"
46set_global_assignment -name DEVICE_FILTER_PIN_COUNT 240
47set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
48set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
49set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION OFF
50set_global_assignment -name MISC_FILE Paella.dpf
51set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER OFF
52set_global_assignment -name ENABLE_CLOCK_LATENCY ON
53set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
54set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
55set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
56set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
57set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
58set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS16
59set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
60set_global_assignment -name USE_CONFIGURATION_DEVICE ON
61set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE EPCS16
62set_global_assignment -name FORCE_CONFIGURATION_VCCIO ON
63set_global_assignment -name CONFIGURATION_VCCIO_LEVEL 3.3V
64set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
65set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
66set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 1
67set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 2
68set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 3
69set_global_assignment -name IOBANK_VCCIO 2.5V -section_id 4
70set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 5
71set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 6
72set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 7
73set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 8
74set_location_assignment PIN_21 -to LED
75set_location_assignment PIN_37 -to USB_PA7
76set_location_assignment PIN_38 -to USB_PA6
77set_location_assignment PIN_39 -to USB_PA5
78set_location_assignment PIN_41 -to USB_PA4
79set_location_assignment PIN_43 -to USB_PA3
80set_location_assignment PIN_44 -to USB_PA2
81set_location_assignment PIN_45 -to USB_PA1
82set_location_assignment PIN_46 -to USB_PA0
83set_location_assignment PIN_49 -to USB_FLAGC
84set_location_assignment PIN_50 -to USB_FLAGB
85set_location_assignment PIN_51 -to USB_FLAGA
86set_location_assignment PIN_52 -to USB_PB[7]
87set_location_assignment PIN_55 -to USB_PB[6]
88set_location_assignment PIN_56 -to USB_PB[5]
89set_location_assignment PIN_57 -to USB_PB[4]
90set_location_assignment PIN_63 -to USB_SLRD
91set_location_assignment PIN_64 -to USB_SLWR
92set_location_assignment PIN_65 -to USB_IFCLK
93set_location_assignment PIN_68 -to USB_PB[0]
94set_location_assignment PIN_69 -to USB_PB[1]
95set_location_assignment PIN_70 -to USB_PB[2]
96set_location_assignment PIN_71 -to USB_PB[3]
97set_location_assignment PIN_72 -to I2C_SDA
98set_location_assignment PIN_73 -to I2C_SCL
99set_location_assignment PIN_76 -to CON_A[0]
100set_location_assignment PIN_78 -to CON_A[1]
101set_location_assignment PIN_80 -to CON_A[2]
102set_location_assignment PIN_81 -to CON_A[3]
103set_location_assignment PIN_82 -to CON_A[4]
104set_location_assignment PIN_83 -to TRG[0]
105set_location_assignment PIN_84 -to TRG[1]
106set_location_assignment PIN_87 -to TRG[2]
107set_location_assignment PIN_88 -to TRG[3]
108set_location_assignment PIN_91 -to ADC_DCO
109set_location_assignment PIN_92 -to "ADC_DCO(n)"
110set_instance_assignment -name IO_STANDARD LVDS -to ADC_DCO
111set_location_assignment PIN_93 -to ADC_FCO
112set_location_assignment PIN_94 -to "ADC_FCO(n)"
113set_instance_assignment -name IO_STANDARD LVDS -to ADC_FCO
114set_location_assignment PIN_98 -to ADC_D[0]
115set_location_assignment PIN_99 -to "ADC_D[0](n)"
116set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[0]
117set_location_assignment PIN_108 -to ADC_D[1]
118set_location_assignment PIN_109 -to "ADC_D[1](n)"
119set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[1]
120set_location_assignment PIN_119 -to ADC_D[2]
121set_location_assignment PIN_120 -to "ADC_D[2](n)"
122set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[2]
123set_location_assignment PIN_126 -to CON_B[0]
124set_location_assignment PIN_127 -to CON_B[1]
125set_location_assignment PIN_128 -to CON_B[2]
126set_location_assignment PIN_131 -to CON_B[3]
127set_location_assignment PIN_132 -to CON_B[4]
128set_location_assignment PIN_133 -to CON_B[5]
129set_location_assignment PIN_134 -to CON_B[6]
130set_location_assignment PIN_135 -to CON_B[7]
131set_location_assignment PIN_137 -to CON_B[8]
132set_location_assignment PIN_139 -to CON_B[9]
133set_location_assignment PIN_142 -to CON_B[10]
134set_location_assignment PIN_143 -to CON_B[11]
135set_location_assignment PIN_144 -to CON_B[12]
136set_location_assignment PIN_145 -to CON_B[13]
137set_location_assignment PIN_146 -to CON_B[14]
138set_location_assignment PIN_147 -to CON_B[15]
139set_location_assignment PIN_148 -to CON_B[16]
140set_location_assignment PIN_149 -to CON_BCLK
141set_location_assignment PIN_150 -to CLK_50MHz
142set_location_assignment PIN_151 -to CON_CCLK[0]
143set_location_assignment PIN_152 -to CON_CCLK[1]
144set_location_assignment PIN_159 -to CON_C[0]
145set_location_assignment PIN_160 -to CON_C[1]
146set_location_assignment PIN_161 -to CON_C[2]
147set_location_assignment PIN_162 -to CON_C[3]
148set_location_assignment PIN_164 -to CON_C[4]
149set_location_assignment PIN_166 -to CON_C[5]
150set_location_assignment PIN_167 -to CON_C[6]
151set_location_assignment PIN_168 -to CON_C[7]
152set_location_assignment PIN_169 -to CON_C[8]
153set_location_assignment PIN_171 -to CON_C[9]
154set_location_assignment PIN_173 -to CON_C[10]
155set_location_assignment PIN_176 -to CON_C[11]
156set_location_assignment PIN_177 -to CON_C[12]
157set_location_assignment PIN_181 -to RAM_DQB[7]
158set_location_assignment PIN_182 -to RAM_ADDR[6]
159set_location_assignment PIN_183 -to RAM_ADDR[7]
160set_location_assignment PIN_184 -to RAM_CE1
161set_location_assignment PIN_186 -to RAM_CLK
162set_location_assignment PIN_187 -to RAM_WE
163set_location_assignment PIN_188 -to RAM_ADDR[8]
164set_location_assignment PIN_189 -to RAM_ADDR[9]
165set_location_assignment PIN_194 -to RAM_ADDR[10]
166set_location_assignment PIN_195 -to RAM_ADDR[11]
167set_location_assignment PIN_196 -to RAM_ADDR[12]
168set_location_assignment PIN_197 -to RAM_DQAP
169set_location_assignment PIN_200 -to RAM_DQA[0]
170set_location_assignment PIN_201 -to RAM_DQA[1]
171set_location_assignment PIN_202 -to RAM_DQA[2]
172set_location_assignment PIN_203 -to RAM_DQA[3]
173set_location_assignment PIN_207 -to RAM_DQA[4]
174set_location_assignment PIN_214 -to RAM_DQA[5]
175set_location_assignment PIN_216 -to RAM_DQA[6]
176set_location_assignment PIN_217 -to RAM_DQA[7]
177set_location_assignment PIN_218 -to RAM_ADDR[13]
178set_location_assignment PIN_219 -to RAM_ADDR[14]
179set_location_assignment PIN_221 -to RAM_ADDR[15]
180set_location_assignment PIN_223 -to RAM_ADDR[16]
181set_location_assignment PIN_224 -to RAM_ADDR[17]
182set_location_assignment PIN_226 -to RAM_ADDR[18]
183set_location_assignment PIN_230 -to RAM_ADDR[19]
184set_location_assignment PIN_231 -to RAM_ADDR[0]
185set_location_assignment PIN_232 -to RAM_ADDR[1]
186set_location_assignment PIN_233 -to RAM_ADDR[2]
187set_location_assignment PIN_234 -to RAM_ADDR[3]
188set_location_assignment PIN_235 -to RAM_ADDR[4]
189set_location_assignment PIN_236 -to RAM_ADDR[5]
190set_location_assignment PIN_237 -to RAM_DQBP
191set_location_assignment PIN_238 -to RAM_DQB[0]
192set_location_assignment PIN_239 -to RAM_DQB[1]
193set_location_assignment PIN_240 -to RAM_DQB[2]
194set_location_assignment PIN_4 -to RAM_DQB[3]
195set_location_assignment PIN_5 -to RAM_DQB[4]
196set_location_assignment PIN_6 -to RAM_DQB[5]
197set_location_assignment PIN_9 -to RAM_DQB[6]
198set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED
199set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLK_50MHz
200set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGA
201set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGB
202set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGC
203set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA7
204set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA6
205set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA5
206set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA4
207set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA3
208set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA2
209set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA1
210set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA0
211set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[7]
212set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[6]
213set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[5]
214set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[4]
215set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[3]
216set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[2]
217set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[1]
218set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[0]
219set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_SLRD
220set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_SLWR
221set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_IFCLK
222set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SDA
223set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SCL
224set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[0]
225set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[1]
226set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[2]
227set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[3]
228set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[4]
229set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[0]
230set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[1]
231set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[2]
232set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[3]
233set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[0]
234set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[1]
235set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[2]
236set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[3]
237set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[4]
238set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[6]
239set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[7]
240set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[8]
241set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[9]
242set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[10]
243set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[11]
244set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[12]
245set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[5]
246set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[13]
247set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[14]
248set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[15]
249set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK
250set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[0]
251set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[1]
252set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[2]
253set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[3]
254set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[4]
255set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[5]
256set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[6]
257set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[7]
258set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[8]
259set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[9]
260set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[10]
261set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[11]
262set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[12]
263set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_CCLK[0]
264set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_CCLK[1]
265set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[0]
266set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[1]
267set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[2]
268set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[3]
269set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[4]
270set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[5]
271set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[6]
272set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[7]
273set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[8]
274set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[9]
275set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[10]
276set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[11]
277set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[12]
278set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[13]
279set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[14]
280set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[15]
281set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[16]
282set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[17]
283set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[18]
284set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[19]
285set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_CLK
286set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_CE1
287set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_WE
288set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQAP
289set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[0]
290set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[1]
291set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[2]
292set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[3]
293set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[4]
294set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[5]
295set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[6]
296set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[7]
297set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQBP
298set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[0]
299set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[1]
300set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[2]
301set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[3]
302set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[4]
303set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[5]
304set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[6]
305set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[7]
306
307set_global_assignment -name VERILOG_FILE clip.v
308set_global_assignment -name VERILOG_FILE shift.v
309set_global_assignment -name VERILOG_FILE Paella.v
310set_global_assignment -name VERILOG_FILE adc_lvds.v
311set_global_assignment -name VERILOG_FILE sys_pll.v
312set_global_assignment -name VERILOG_FILE control.v
313set_global_assignment -name VERILOG_FILE filter.v
314set_global_assignment -name VERILOG_FILE amplitude.v
315set_global_assignment -name VERILOG_FILE delay.v
316set_global_assignment -name VERILOG_FILE coincidence.v
317set_global_assignment -name VERILOG_FILE counter.v
318set_global_assignment -name VERILOG_FILE histogram16.v
319set_global_assignment -name VERILOG_FILE histogram32.v
320set_global_assignment -name VERILOG_FILE trigger.v
321set_global_assignment -name VERILOG_FILE oscilloscope.v
322set_global_assignment -name VERILOG_FILE configuration.v
323set_global_assignment -name VERILOG_FILE usb_fifo.v
324set_global_assignment -name VERILOG_FILE i2c_fifo.v
325set_global_assignment -name VERILOG_FILE test.v
326
327set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
Note: See TracBrowser for help on using the repository browser.