# -------------------------------------------------------------------------- # # # Copyright (C) 1991-2009 Altera Corporation # Your use of Altera Corporation's design tools, logic functions # and other software and tools, and its AMPP partner logic # functions, and any output files from any of the foregoing # (including device programming or simulation files), and any # associated documentation or information are expressly subject # to the terms and conditions of the Altera Program License # Subscription Agreement, Altera MegaCore Function License # Agreement, or other applicable license agreement, including, # without limitation, that your use is for the sole purpose of # programming logic devices manufactured by Altera and sold by # Altera or its authorized distributors. Please refer to the # applicable agreement for further details. # # -------------------------------------------------------------------------- # # # Quartus II # Version 9.0 Build 132 02/25/2009 SJ Web Edition # Date created = 14:14:14 August 28, 2009 # # -------------------------------------------------------------------------- # # # Notes: # # 1) The default values for assignments are stored in the file: # Paella_assignment_defaults.qdf # If this file doesn't exist, see file: # assignment_defaults.qdf # # 2) Altera recommends that you do not modify this file. This # file is updated automatically by the Quartus II software # and any changes you make may be lost or overwritten. # # -------------------------------------------------------------------------- # set_global_assignment -name FAMILY "Cyclone III" set_global_assignment -name DEVICE EP3C25Q240C8 set_global_assignment -name TOP_LEVEL_ENTITY Paella set_global_assignment -name ORIGINAL_QUARTUS_VERSION 9.0 set_global_assignment -name PROJECT_CREATION_TIME_DATE "14:14:14 AUGUST 28, 2009" set_global_assignment -name LAST_QUARTUS_VERSION 9.0 set_global_assignment -name DEVICE_FILTER_PACKAGE "ANY QFP" set_global_assignment -name DEVICE_FILTER_PIN_COUNT 240 set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION OFF set_global_assignment -name MISC_FILE Paella.dpf set_global_assignment -name VERILOG_FILE Paella.v set_global_assignment -name VERILOG_FILE adc_fifo.v set_global_assignment -name VERILOG_FILE adc_lvds.v set_global_assignment -name VERILOG_FILE adc_para.v set_global_assignment -name VERILOG_FILE adc_pll.v set_global_assignment -name VERILOG_FILE control.v set_global_assignment -name VERILOG_FILE analyser.v set_global_assignment -name VERILOG_FILE baseline.v set_global_assignment -name VERILOG_FILE histogram.v set_global_assignment -name VERILOG_FILE trigger.v set_global_assignment -name VERILOG_FILE oscilloscope.v set_global_assignment -name VERILOG_FILE usb_fifo.v set_global_assignment -name VERILOG_FILE i2c_fifo.v set_global_assignment -name VERILOG_FILE uwt_bior31.v set_global_assignment -name VERILOG_FILE test.v set_global_assignment -name VERILOG_FILE test_pll.v set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER OFF set_global_assignment -name ENABLE_CLOCK_LATENCY ON set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region" set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS16 set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" set_global_assignment -name USE_CONFIGURATION_DEVICE ON set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE EPCS16 set_global_assignment -name FORCE_CONFIGURATION_VCCIO ON set_global_assignment -name CONFIGURATION_VCCIO_LEVEL 3.3V set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO" set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED" set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 1 set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 2 set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 3 set_global_assignment -name IOBANK_VCCIO 2.5V -section_id 4 set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 5 set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 6 set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 7 set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 8 set_location_assignment PIN_21 -to LED set_location_assignment PIN_33 -to CLK_50MHz set_location_assignment PIN_37 -to USB_PA7 set_location_assignment PIN_38 -to USB_PA6 set_location_assignment PIN_39 -to USB_PA5 set_location_assignment PIN_41 -to USB_PA4 set_location_assignment PIN_43 -to USB_PA3 set_location_assignment PIN_44 -to USB_PA2 set_location_assignment PIN_45 -to USB_PA1 set_location_assignment PIN_46 -to USB_PA0 set_location_assignment PIN_49 -to USB_FLAGC set_location_assignment PIN_50 -to USB_FLAGB set_location_assignment PIN_51 -to USB_FLAGA set_location_assignment PIN_52 -to USB_PB[7] set_location_assignment PIN_55 -to USB_PB[6] set_location_assignment PIN_56 -to USB_PB[5] set_location_assignment PIN_57 -to USB_PB[4] set_location_assignment PIN_63 -to USB_SLRD set_location_assignment PIN_64 -to USB_SLWR set_location_assignment PIN_65 -to USB_IFCLK set_location_assignment PIN_68 -to USB_PB[0] set_location_assignment PIN_69 -to USB_PB[1] set_location_assignment PIN_70 -to USB_PB[2] set_location_assignment PIN_71 -to USB_PB[3] set_location_assignment PIN_72 -to I2C_SDA set_location_assignment PIN_73 -to I2C_SCL set_location_assignment PIN_76 -to CON_A[0] set_location_assignment PIN_78 -to CON_A[1] set_location_assignment PIN_80 -to CON_A[2] set_location_assignment PIN_81 -to CON_A[3] set_location_assignment PIN_82 -to CON_A[4] set_location_assignment PIN_83 -to TRG[0] set_location_assignment PIN_84 -to TRG[1] set_location_assignment PIN_87 -to TRG[2] set_location_assignment PIN_88 -to TRG[3] set_location_assignment PIN_91 -to ADC_DCO set_location_assignment PIN_92 -to "ADC_DCO(n)" set_instance_assignment -name IO_STANDARD LVDS -to ADC_DCO set_location_assignment PIN_93 -to ADC_FCO set_location_assignment PIN_94 -to "ADC_FCO(n)" set_instance_assignment -name IO_STANDARD LVDS -to ADC_FCO set_location_assignment PIN_98 -to ADC_D[0] set_location_assignment PIN_99 -to "ADC_D[0](n)" set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[0] set_location_assignment PIN_108 -to ADC_D[1] set_location_assignment PIN_109 -to "ADC_D[1](n)" set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[1] set_location_assignment PIN_119 -to ADC_D[2] set_location_assignment PIN_120 -to "ADC_D[2](n)" set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[2] set_location_assignment PIN_126 -to CON_B[0] set_location_assignment PIN_127 -to CON_B[1] set_location_assignment PIN_128 -to CON_B[2] set_location_assignment PIN_131 -to CON_B[3] set_location_assignment PIN_132 -to CON_B[4] set_location_assignment PIN_133 -to CON_B[5] set_location_assignment PIN_134 -to CON_B[6] set_location_assignment PIN_135 -to CON_B[7] set_location_assignment PIN_137 -to CON_B[8] set_location_assignment PIN_139 -to CON_B[9] set_location_assignment PIN_142 -to CON_B[10] set_location_assignment PIN_143 -to CON_B[11] set_location_assignment PIN_144 -to CON_B[12] set_location_assignment PIN_145 -to CON_B[13] set_location_assignment PIN_146 -to CON_B[14] set_location_assignment PIN_147 -to CON_B[15] set_location_assignment PIN_149 -to CON_BCLK[0] set_location_assignment PIN_150 -to CON_BCLK[1] set_location_assignment PIN_151 -to CON_CCLK[0] set_location_assignment PIN_152 -to CON_CCLK[1] set_location_assignment PIN_159 -to CON_C[0] set_location_assignment PIN_160 -to CON_C[1] set_location_assignment PIN_161 -to CON_C[2] set_location_assignment PIN_162 -to CON_C[3] set_location_assignment PIN_164 -to CON_C[4] set_location_assignment PIN_166 -to CON_C[5] set_location_assignment PIN_167 -to CON_C[6] set_location_assignment PIN_168 -to CON_C[7] set_location_assignment PIN_169 -to CON_C[8] set_location_assignment PIN_171 -to CON_C[9] set_location_assignment PIN_173 -to CON_C[10] set_location_assignment PIN_176 -to CON_C[11] set_location_assignment PIN_177 -to CON_C[12] set_location_assignment PIN_181 -to RAM_DQB[7] set_location_assignment PIN_182 -to RAM_ADDR[6] set_location_assignment PIN_183 -to RAM_ADDR[7] set_location_assignment PIN_184 -to RAM_CE1 set_location_assignment PIN_186 -to RAM_CLK set_location_assignment PIN_187 -to RAM_WE set_location_assignment PIN_188 -to RAM_ADDR[8] set_location_assignment PIN_189 -to RAM_ADDR[9] set_location_assignment PIN_194 -to RAM_ADDR[10] set_location_assignment PIN_195 -to RAM_ADDR[11] set_location_assignment PIN_196 -to RAM_ADDR[12] set_location_assignment PIN_197 -to RAM_DQAP set_location_assignment PIN_200 -to RAM_DQA[0] set_location_assignment PIN_201 -to RAM_DQA[1] set_location_assignment PIN_202 -to RAM_DQA[2] set_location_assignment PIN_203 -to RAM_DQA[3] set_location_assignment PIN_207 -to RAM_DQA[4] set_location_assignment PIN_214 -to RAM_DQA[5] set_location_assignment PIN_216 -to RAM_DQA[6] set_location_assignment PIN_217 -to RAM_DQA[7] set_location_assignment PIN_218 -to RAM_ADDR[13] set_location_assignment PIN_219 -to RAM_ADDR[14] set_location_assignment PIN_221 -to RAM_ADDR[15] set_location_assignment PIN_223 -to RAM_ADDR[16] set_location_assignment PIN_224 -to RAM_ADDR[17] set_location_assignment PIN_226 -to RAM_ADDR[18] set_location_assignment PIN_230 -to RAM_ADDR[19] set_location_assignment PIN_231 -to RAM_ADDR[0] set_location_assignment PIN_232 -to RAM_ADDR[1] set_location_assignment PIN_233 -to RAM_ADDR[2] set_location_assignment PIN_234 -to RAM_ADDR[3] set_location_assignment PIN_235 -to RAM_ADDR[4] set_location_assignment PIN_236 -to RAM_ADDR[5] set_location_assignment PIN_237 -to RAM_DQBP set_location_assignment PIN_238 -to RAM_DQB[0] set_location_assignment PIN_239 -to RAM_DQB[1] set_location_assignment PIN_240 -to RAM_DQB[2] set_location_assignment PIN_4 -to RAM_DQB[3] set_location_assignment PIN_5 -to RAM_DQB[4] set_location_assignment PIN_6 -to RAM_DQB[5] set_location_assignment PIN_9 -to RAM_DQB[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLK_50MHz set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGA set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGB set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGC set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA7 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA6 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA5 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA4 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA3 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA2 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA1 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA0 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[7] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_SLRD set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_SLWR set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_IFCLK set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SDA set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SCL set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[7] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[8] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[9] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[10] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[11] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[12] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[13] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[14] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[15] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[7] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[8] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[9] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[10] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[11] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[12] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_CCLK[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_CCLK[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[7] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[8] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[9] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[10] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[11] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[12] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[13] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[14] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[15] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[16] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[17] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[18] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[19] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_CLK set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_CE1 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_WE set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQAP set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[7] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQBP set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[7]