Changeset 99


Ignore:
Timestamp:
Mar 12, 2010, 11:57:35 AM (14 years ago)
Author:
demin
Message:

double the number of configuration registers

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/MultiChannelUSB/configuration.v

    r91 r99  
    44
    55                input   wire                    bus_ssel, bus_wren,
    6                 input   wire    [3:0]   bus_addr,
     6                input   wire    [4:0]   bus_addr,
    77                input   wire    [15:0]  bus_mosi,
    88
     
    1010                output  wire                    bus_busy,
    1111               
    12                 output  wire    [255:0] cfg_bits
     12                output  wire    [511:0] cfg_bits
    1313        );
    1414
    15         wire    [15:0]  int_ssel_wire;
     15        wire    [31:0]  int_ssel_wire;
    1616        wire    [15:0]  int_miso_wire;
    1717        reg             [15:0]  int_miso_reg;
    1818
    19         wire    [15:0]  int_q_wire [15:0];
    20         wire    [255:0] int_bits_wire;
     19        wire    [511:0] int_bits_wire;
    2120
    2221        integer i;
     
    2423
    2524        generate
    26                 for (j = 0; j < 16; j = j + 1)
     25                for (j = 0; j < 32; j = j + 1)
    2726                begin : BUS_OUTPUT
    28                         assign int_bits_wire[j*16+15:j*16] = int_q_wire[j];
    2927                        lpm_ff #(
    3028                                .lpm_fftype("DFF"),
     
    3533                                .clock(clock),
    3634                                .data(bus_mosi),
    37                                 .q(int_q_wire[j]),
     35                                .q(int_bits_wire[j*16+15:j*16]),
    3836                                .aclr(),
    3937                                .aload(),
     
    4543
    4644        lpm_mux #(
    47                 .lpm_size(16),
     45                .lpm_size(32),
    4846                .lpm_type("LPM_MUX"),
    4947                .lpm_width(16),
    50                 .lpm_widths(4)) bus_miso_mux_unit (
     48                .lpm_widths(5)) bus_miso_mux_unit (
    5149                .sel(bus_addr),
    5250                .data(int_bits_wire),
     
    5553
    5654        lpm_decode #(
    57                 .lpm_decodes(16),
     55                .lpm_decodes(32),
    5856                .lpm_type("LPM_DECODE"),
    59                 .lpm_width(4)) lpm_decode_unit (
     57                .lpm_width(5)) lpm_decode_unit (
    6058                .data(bus_addr),
    6159                .eq(int_ssel_wire),
Note: See TracChangeset for help on using the changeset viewer.