Changeset 98 for trunk/MultiChannelUSB


Ignore:
Timestamp:
Mar 12, 2010, 11:55:34 AM (14 years ago)
Author:
demin
Message:

replace fixed value with parameter in the int_data_next assignment

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/MultiChannelUSB/adc_lvds.v

    r72 r98  
    1414        wire    [size-1:0]      int_data_h, int_data_l;
    1515        reg     [width-1:0]     int_data_next [size-1:0];
     16//      reg     [2*width:0]     int_data_next [size-1:0];
    1617        reg     [width-1:0]     int_data_reg [size-1:0];
     18//      reg     [2*width:0]     int_data_reg [size-1:0];
    1719
    1820        reg     [width-1:0]     int_adc_data [size-1:0];
     
    2426                .intended_device_family("Cyclone III"),
    2527                .invert_input_clocks("ON"),
     28//              .invert_input_clocks("OFF"),
    2629                .lpm_type("altddio_in"),
    2730                .width(size)) altddio_in_unit (
     
    4952                begin
    5053                        int_adc_data[i] <= int_data_next[i];
     54//                      int_data_next[i] = {int_data_reg[i][2*width-2:0], int_data_l[i], int_data_h[i]};
    5155                end
    5256        end
     
    5660                for (i = 0; i < size; i = i + 1)
    5761                begin
    58                         int_data_next[i] = {int_data_reg[i][9:0], int_data_l[i], int_data_h[i]};
     62                        int_data_next[i] = {int_data_reg[i][width-3:0], int_data_l[i], int_data_h[i]};
     63//                      int_data_next[i] = {int_data_reg[i][2*width-2:0], int_data_l[i], int_data_h[i]};
    5964                end
    6065        end
Note: See TracChangeset for help on using the changeset viewer.