Changeset 93


Ignore:
Timestamp:
Mar 12, 2010, 11:35:18 AM (14 years ago)
Author:
demin
Message:

add a few more samples

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/MultiChannelUSB/test.v

    r84 r93  
    66
    77        reg     [11:0]  int_data;
    8         reg     [5:0]   counter;
     8        reg     [15:0]  counter;
     9//      reg     [5:0]   counter;
    910        reg             [5:0]   state;
    1011
     
    1617                        begin
    1718                                int_data <= 12'd0;
    18                                 state <= 3'd1;
     19                                state <= 6'd1;
    1920                        end
    2021                       
     
    2223                        begin
    2324                                int_data <= 12'd1024;
    24                                 state <= 3'd2;
     25                                state <= 6'd2;
    2526                        end
    2627
     
    2829                        begin
    2930                                int_data <= 12'd2048;
    30                                 state <= 3'd3;
     31                                state <= 6'd3;
    3132                        end
    3233
     
    3435                        begin
    3536                                int_data <= 12'd3072;
    36                                 state <= 3'd4;
     37                                state <= 6'd4;
    3738                        end
    3839
     
    4041                        begin
    4142                                int_data <= 12'd4095;
    42                                 state <= 3'd0;
    43                         end
    44 */
    45 
    46                         6'd0:
    47                         begin
    48                                 int_data <= 12'h030;
    49                                 state <= 6'd1;
    50                         end
    51 
    52                         6'd1:
    53                         begin
    54                                 int_data <= 12'h034;
    55                                 state <= 6'd2;
    56                         end
    57 
    58                         6'd2:
    59                         begin
    60                                 int_data <= 12'h081;
    61                                 state <= 6'd3;
    62                         end
    63 
    64                         6'd3:
    65                         begin
    66                                 int_data <= 12'h0f5;
    67                                 state <= 6'd4;
    68                         end
    69 
    70                         6'd4:
    71                         begin
    72                                 int_data <= 12'h10a;
    7343                                state <= 6'd5;
    7444                        end
    7545
    76                         6'd5:
    77                         begin
    78                                 int_data <= 12'h11a;
     46                        5:
     47                        begin
     48                                int_data <= 12'd3072;
    7949                                state <= 6'd6;
    8050                        end
    8151
    82                         6'd6:
    83                         begin
    84                                 int_data <= 12'h124;
     52                        6:
     53                        begin
     54                                int_data <= 12'd2048;
    8555                                state <= 6'd7;
    8656                        end
    8757
    88                         6'd7:
    89                         begin
    90                                 int_data <= 12'h124;
     58                        7:
     59                        begin
     60                                int_data <= 12'd1024;
    9161                                state <= 6'd8;
    9262                        end
    9363
    94                         6'd8:
    95                         begin
    96                                 int_data <= 12'h12b;
    97                                 state <= 6'd9;
    98                         end
    99 
    100                         6'd9:
    101                         begin
    102                                 int_data <= 12'h12a;
    103                                 state <= 6'd10;
    104                         end
    105 
    106                         6'd10:
    107                         begin
    108                                 int_data <= 12'h12a;
    109                                 state <= 6'd11;
    110                         end
    111 
    112                         6'd11:
    113                         begin
    114                                 int_data <= 12'h12b;
    115                                 state <= 6'd12;
    116                         end
    117 
    118                         6'd12:
    119                         begin
    120                                 int_data <= 12'h12a;
    121                                 state <= 6'd13;
    122                         end
    123 
    124                         6'd13:
    125                         begin
    126                                 int_data <= 12'h12e;
    127                                 state <= 6'd14;
    128                         end
    129 
    130                         6'd14:
    131                         begin
    132                                 int_data <= 12'h12b;
    133                                 state <= 6'd15;
    134                         end
    135 
    136                         6'd15:
    137                         begin
    138                                 int_data <= 12'h12b;
    139                                 state <= 6'd16;
    140                         end
    141 
    142                         6'd16:
    143                         begin
    144                                 int_data <= 12'h12e;
    145                                 state <= 6'd17;
    146                         end
    147 
    148                         6'd17:
    149                         begin
    150                                 int_data <= 12'h12b;
    151                                 state <= 6'd18;
    152                         end
    153 
    154                         6'd18:
    155                         begin
    156                                 int_data <= 12'h12a;
    157                                 state <= 6'd19;
    158                         end
    159 
    160                         6'd19:
    161                         begin
    162                                 int_data <= 12'h12e;
    163                                 state <= 6'd20;
    164                         end
    165 
    166                         6'd20:
    167                         begin
    168                                 int_data <= 12'h12b;
    169                                 state <= 6'd21;
    170                         end
    171 
    172                         6'd21:
    173                         begin
    174                                 int_data <= 12'h12e;
    175                                 state <= 6'd22;
    176                         end
    177 
    178                         6'd22:
    179                         begin
    180                                 int_data <= 12'h12f;
    181                                 state <= 6'd23;
    182                         end
    183 
    184                         6'd23:
    185                         begin
    186                                 int_data <= 12'h12f;
    187                                 state <= 6'd24;
    188                         end
    189 
    190                         6'd24:
    191                         begin
    192                                 int_data <= 12'h12b;
    193                                 state <= 6'd25;
    194                         end
    195 
    196                         6'd25:
    197                         begin
    198                                 int_data <= 12'h12b;
    199                                 state <= 6'd26;
    200                         end
    201 
    202                         6'd26:
    203                         begin
    204                                 int_data <= 12'h12b;
    205                                 state <= 6'd27;
    206                         end
    207 
    208                         6'd27:
    209                         begin
    210                                 int_data <= 12'h12e;
    211                                 state <= 6'd28;
    212                         end
    213 
    214                         6'd28:
    215                         begin
    216                                 int_data <= 12'h12e;
    217                                 state <= 6'd29;
    218                         end
    219 
    220                         6'd29:
    221                         begin
    222                                 int_data <= 12'h12e;
    223                                 state <= 6'd30;
    224                         end
    225 
    226                         6'd30:
    227                         begin
    228                                 int_data <= 12'h12e;
    229                                 state <= 6'd31;
    230                         end
    231 
    232                         6'd31:
    233                         begin
    234                                 int_data <= 12'h12b;
    235                                 state <= 6'd32;
    236                         end
    237 
    238                         6'd32:
    239                         begin
    240                                 int_data <= 12'h12b;
    241                                 state <= 6'd33;
    242                         end
    243 
    244                         6'd33:
    245                         begin
    246                                 int_data <= 12'h12b;
    247                                 state <= 6'd34;
    248                         end
    249 
    250                         6'd34:
    251                         begin
    252                                 int_data <= 12'h12e;
    253                                 state <= 6'd35;
    254                         end
    255 
    256                         6'd35:
    257                         begin
    258                                 int_data <= 12'h12e;
    259                                 state <= 6'd36;
    260                         end
    261 
    262                         6'd36:
    263                         begin
    264                                 int_data <= 12'h12e;
    265                                 state <= 6'd37;
    266                         end
    267 
    268                         6'd37:
    269                         begin
    270                                 int_data <= 12'h12e;
    271                                 state <= 6'd38;
    272                         end
    273 
    274                         6'd38:
    275                         begin
    276                                 int_data <= 12'h12f;
    277                                 state <= 6'd39;
    278                         end
    279 
    280                         6'd39:
    281                         begin
    282                                 int_data <= 12'h12b;
    283                                 state <= 6'd40;
    284                         end
    285 
    286                         6'd40:
    287                         begin
    288                                 int_data <= 12'h12e;
    289                                 state <= 6'd41;
    290                         end
    291 
    292                         6'd41:
    293                         begin
    294                                 int_data <= 12'h12f;
    295                                 state <= 6'd42;
    296                         end
    297 
    298                         6'd42:
    299                         begin
    300                                 int_data <= 12'h0fb;
    301                                 state <= 6'd43;
    302                         end
    303 
    304                         6'd43:
    305                         begin
    306                                 int_data <= 12'h07e;
    307                                 state <= 6'd44;
    308                         end
    309 
    310                         6'd44:
    311                         begin
    312                                 int_data <= 12'h070;
    313                                 state <= 6'd45;
    314                         end
    315 
    316                         6'd45:
    317                         begin
    318                                 int_data <= 12'h05a;
    319                                 state <= 6'd46;
    320                         end
    321 
    322                         6'd46:
    323                         begin
    324                                 int_data <= 12'h045;
    325                                 state <= 6'd47;
    326                         end
    327 
    328                         6'd47:
    329                         begin
    330                                 int_data <= 12'h03f;
    331                                 state <= 6'd48;
    332                         end
    333 
    334                         6'd48:
    335                         begin
    336                                 int_data <= 12'h03b;
    337                                 state <= 6'd49;
    338                         end
    339 
    340                         6'd49:
    341                         begin
    342                                 int_data <= 12'h034;
    343                                 state <= 6'd50;
    344                         end
    345 
    346                         6'd50:
    347                         begin
    348                                 int_data <= 12'h035;
    349                                 state <= 6'd51;
    350                         end
    351 
    352                         6'd51:
    353                         begin
    354                                 int_data <= 12'h034;
    355                                 state <= 6'd52;
    356                         end
    357 
    358                         6'd52:
    359                         begin
    360                                 int_data <= 12'h034;
    361                                 state <= 6'd53;
    362                         end
    363 
    364                         6'd53:
    365                         begin
    366                                 int_data <= 12'h030;
    367                                 state <= 6'd54;
    368                         end
    369 
    370                         6'd54:
    371                         begin
    372                                 int_data <= 12'h030;
     64                        8:
     65                        begin
     66                                int_data <= 12'd0;
    37367                                counter <= counter + 6'd1;
    37468                                if (&counter)
     
    37771                                end
    37872                        end
     73*/
     74
     75                        6'd0:
     76                        begin
     77                                int_data <= 12'h030;
     78                                state <= 6'd1;
     79                        end
     80
     81                        6'd1:
     82                        begin
     83                                int_data <= 12'h034;
     84                                state <= 6'd2;
     85                        end
     86
     87                        6'd2:
     88                        begin
     89                                int_data <= 12'h081;
     90                                state <= 6'd3;
     91                        end
     92
     93                        6'd3:
     94                        begin
     95                                int_data <= 12'h0f5;
     96                                state <= 6'd4;
     97                        end
     98
     99                        6'd4:
     100                        begin
     101                                int_data <= 12'h10a;
     102                                state <= 6'd5;
     103                        end
     104
     105                        6'd5:
     106                        begin
     107                                int_data <= 12'h11a;
     108                                state <= 6'd6;
     109                        end
     110
     111                        6'd6:
     112                        begin
     113                                int_data <= 12'h124;
     114                                state <= 6'd7;
     115                        end
     116
     117                        6'd7:
     118                        begin
     119                                int_data <= 12'h124;
     120                                state <= 6'd8;
     121                        end
     122
     123                        6'd8:
     124                        begin
     125                                int_data <= 12'h12b;
     126                                state <= 6'd9;
     127                        end
     128
     129                        6'd9:
     130                        begin
     131                                int_data <= 12'h12a;
     132                                state <= 6'd10;
     133                        end
     134
     135                        6'd10:
     136                        begin
     137                                int_data <= 12'h12a;
     138                                state <= 6'd11;
     139                        end
     140
     141                        6'd11:
     142                        begin
     143                                int_data <= 12'h12b;
     144                                state <= 6'd12;
     145                        end
     146
     147                        6'd12:
     148                        begin
     149                                int_data <= 12'h12a;
     150                                state <= 6'd13;
     151                        end
     152
     153                        6'd13:
     154                        begin
     155                                int_data <= 12'h12e;
     156                                state <= 6'd14;
     157                        end
     158
     159                        6'd14:
     160                        begin
     161                                int_data <= 12'h12b;
     162                                state <= 6'd15;
     163                        end
     164
     165                        6'd15:
     166                        begin
     167                                int_data <= 12'h12b;
     168                                state <= 6'd16;
     169                        end
     170
     171                        6'd16:
     172                        begin
     173                                int_data <= 12'h12e;
     174                                state <= 6'd17;
     175                        end
     176
     177                        6'd17:
     178                        begin
     179                                int_data <= 12'h12b;
     180                                state <= 6'd18;
     181                        end
     182
     183                        6'd18:
     184                        begin
     185                                int_data <= 12'h12a;
     186                                state <= 6'd19;
     187                        end
     188
     189                        6'd19:
     190                        begin
     191                                int_data <= 12'h12e;
     192                                state <= 6'd20;
     193                        end
     194
     195                        6'd20:
     196                        begin
     197                                int_data <= 12'h12b;
     198                                state <= 6'd21;
     199                        end
     200
     201                        6'd21:
     202                        begin
     203                                int_data <= 12'h12e;
     204                                state <= 6'd22;
     205                        end
     206
     207                        6'd22:
     208                        begin
     209                                int_data <= 12'h12f;
     210                                state <= 6'd23;
     211                        end
     212
     213                        6'd23:
     214                        begin
     215                                int_data <= 12'h12f;
     216                                state <= 6'd24;
     217                        end
     218
     219                        6'd24:
     220                        begin
     221                                int_data <= 12'h12b;
     222                                state <= 6'd25;
     223                        end
     224
     225                        6'd25:
     226                        begin
     227                                int_data <= 12'h12b;
     228                                state <= 6'd26;
     229                        end
     230
     231                        6'd26:
     232                        begin
     233                                int_data <= 12'h12b;
     234                                state <= 6'd27;
     235                        end
     236
     237                        6'd27:
     238                        begin
     239                                int_data <= 12'h12e;
     240                                state <= 6'd28;
     241                        end
     242
     243                        6'd28:
     244                        begin
     245                                int_data <= 12'h12e;
     246                                state <= 6'd29;
     247                        end
     248
     249                        6'd29:
     250                        begin
     251                                int_data <= 12'h12e;
     252                                state <= 6'd30;
     253                        end
     254
     255                        6'd30:
     256                        begin
     257                                int_data <= 12'h12e;
     258                                state <= 6'd31;
     259                        end
     260
     261                        6'd31:
     262                        begin
     263                                int_data <= 12'h12b;
     264                                state <= 6'd32;
     265                        end
     266
     267                        6'd32:
     268                        begin
     269                                int_data <= 12'h12b;
     270                                state <= 6'd33;
     271                        end
     272
     273                        6'd33:
     274                        begin
     275                                int_data <= 12'h12b;
     276                                state <= 6'd34;
     277                        end
     278
     279                        6'd34:
     280                        begin
     281                                int_data <= 12'h12e;
     282                                state <= 6'd35;
     283                        end
     284
     285                        6'd35:
     286                        begin
     287                                int_data <= 12'h12e;
     288                                state <= 6'd36;
     289                        end
     290
     291                        6'd36:
     292                        begin
     293                                int_data <= 12'h12e;
     294                                state <= 6'd37;
     295                        end
     296
     297                        6'd37:
     298                        begin
     299                                int_data <= 12'h12e;
     300                                state <= 6'd38;
     301                        end
     302
     303                        6'd38:
     304                        begin
     305                                int_data <= 12'h12f;
     306                                state <= 6'd39;
     307                        end
     308
     309                        6'd39:
     310                        begin
     311                                int_data <= 12'h12b;
     312                                state <= 6'd40;
     313                        end
     314
     315                        6'd40:
     316                        begin
     317                                int_data <= 12'h12e;
     318                                state <= 6'd41;
     319                        end
     320
     321                        6'd41:
     322                        begin
     323                                int_data <= 12'h12f;
     324                                state <= 6'd42;
     325                        end
     326
     327                        6'd42:
     328                        begin
     329                                int_data <= 12'h0fb;
     330                                state <= 6'd43;
     331                        end
     332
     333                        6'd43:
     334                        begin
     335                                int_data <= 12'h07e;
     336                                state <= 6'd44;
     337                        end
     338
     339                        6'd44:
     340                        begin
     341                                int_data <= 12'h070;
     342                                state <= 6'd45;
     343                        end
     344
     345                        6'd45:
     346                        begin
     347                                int_data <= 12'h05a;
     348                                state <= 6'd46;
     349                        end
     350
     351                        6'd46:
     352                        begin
     353                                int_data <= 12'h045;
     354                                state <= 6'd47;
     355                        end
     356
     357                        6'd47:
     358                        begin
     359                                int_data <= 12'h03f;
     360                                state <= 6'd48;
     361                        end
     362
     363                        6'd48:
     364                        begin
     365                                int_data <= 12'h03b;
     366                                state <= 6'd49;
     367                        end
     368
     369                        6'd49:
     370                        begin
     371                                int_data <= 12'h034;
     372                                state <= 6'd50;
     373                        end
     374
     375                        6'd50:
     376                        begin
     377                                int_data <= 12'h035;
     378                                state <= 6'd51;
     379                        end
     380
     381                        6'd51:
     382                        begin
     383                                int_data <= 12'h034;
     384                                state <= 6'd52;
     385                        end
     386
     387                        6'd52:
     388                        begin
     389                                int_data <= 12'h034;
     390                                state <= 6'd53;
     391                        end
     392
     393                        6'd53:
     394                        begin
     395                                int_data <= 12'h030;
     396                                state <= 6'd54;
     397                        end
     398
     399                        6'd54:
     400                        begin
     401                                int_data <= 12'h030;
     402                                counter <= counter + 16'd1;
     403                                if (&counter)
     404                                begin
     405                                        state <= 6'd0;
     406                                end
     407                        end
    379408
    380409                        default:
Note: See TracChangeset for help on using the changeset viewer.