Changeset 70


Ignore:
Timestamp:
Nov 23, 2009, 12:50:41 PM (15 years ago)
Author:
demin
Message:

intermediate working version with 32 bit histograms, test block and I2C master

Location:
trunk/MultiChannelUSB
Files:
3 edited

Legend:

Unmodified
Added
Removed
  • trunk/MultiChannelUSB/Paella.v

    r68 r70  
    149149    assign      adc_clk[0] = ADC_FCO;
    150150    assign      adc_clk[1] = ADC_FCO;
    151     assign      adc_clk[2] = ADC_FCO;
     151//    assign    adc_clk[2] = ADC_FCO;
    152152
    153153    assign      adc_clk[3] = ADC_FCO;
     
    171171                .c0(adc_pll_clk));
    172172*/
    173 /*
     173
    174174        wire                    tst_adc_clk;
    175175        wire    [11:0]  tst_adc_data;
     
    180180                .tst_data(tst_adc_data));
    181181
    182     assign      adc_clk[3] = tst_adc_clk;
    183     assign      adc_data[3] = tst_adc_data;
    184 */
     182    assign      adc_clk[2] = tst_adc_clk;
     183    assign      adc_data[2] = tst_adc_data;
     184
    185185/*
    186186        altserial_flash_loader #(
     
    196196                .sdoin());
    197197*/
    198 
     198/*
    199199        adc_lvds #(
    200200                .size(3),
     
    207207                                        adc_data[1],
    208208                                        adc_data[2] }));
    209 
     209*/
    210210        genvar i;
    211211        generate
     
    287287                                hst_reset[mux_chan] = mux_reset;
    288288                                hst_addr[mux_chan] = mux_addr[11:0];
    289                                 mux_max_byte = 2'd2;   
     289                                mux_max_byte = 2'd3;   
    290290                                mux_min_addr = 16'd0;
    291                                 mux_max_addr = 16'd4096;
     291                                mux_max_addr = 16'd4095;
    292292                        end
    293293                endcase
     
    320320                .data(i2c_data),
    321321                .full(i2c_full),
     322/*
     323                normal connection
    322324                .i2c_sda(I2C_SDA),
    323                 .i2c_scl(I2C_SCL));
     325                .i2c_scl(I2C_SCL),
     326
     327                following is a cross wire connection for EPT
     328*/
     329                .i2c_sda(I2C_SCL),
     330                .i2c_scl(I2C_SDA));
    324331
    325332        control control_unit (
  • trunk/MultiChannelUSB/histogram.v

    r67 r70  
    2020       
    2121        assign  addr_wire = (flag_reg) ? data : addr_reg;
    22         assign  data_wire = (flag_reg) ? (q_a_wire + 24'd1) : data_reg;
     22        assign  data_wire = (flag_reg) ? (q_a_wire + 32'd1) : data_reg;
    2323
    2424        altsyncram #(
     
    7979                        wren_reg <= 1'b1;
    8080                        addr_reg <= 12'd0;
    81                         data_reg <= 24'd0;
     81                        data_reg <= 32'd0;
    8282                        state_reg <= 4'b1;
    8383                end
     
    106106                                wren_next = 1'b0;
    107107                                addr_next = 12'd0;
    108                                 data_next = 24'd0;
     108                                data_next = 32'd0;
    109109                                state_next = 4'd0;
    110110                        end
     
    153153                                wren_next = 1'b0;
    154154                                addr_next = 12'd0;
    155                                 data_next = 24'd0;
     155                                data_next = 32'd0;
    156156                                state_next = 4'd0;
    157157                        end
  • trunk/MultiChannelUSB/i2c_fifo.v

    r66 r70  
    2222        assign i2c_sda = int_sdo ? 1'bz : 1'b0;
    2323        assign i2c_scl = int_scl | (int_clken ? ~i2c_clk : 1'b0);       
    24 //      assign i2c_scl = counter[8];   
    2524
    2625        assign start = int_data[8];
     
    6463                        0:
    6564                        begin
     65                                int_ack <= 1'b0;
    6666                                int_sdo <= 1'b1;
    6767                                int_scl <= 1'b1;
Note: See TracChangeset for help on using the changeset viewer.