Changeset 29 for trunk


Ignore:
Timestamp:
Sep 3, 2009, 2:33:19 PM (15 years ago)
Author:
demin
Message:

split USB_PA into separate wires

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/MultiChannelUSB/Paella.qsf

    r27 r29  
    8585set_location_assignment PIN_21 -to LED
    8686set_location_assignment PIN_33 -to CLK_50MHz
    87 set_location_assignment PIN_37 -to USB_PA[7]
    88 set_location_assignment PIN_38 -to USB_PA[6]
    89 set_location_assignment PIN_39 -to USB_PA[5]
    90 set_location_assignment PIN_41 -to USB_PA[4]
    91 set_location_assignment PIN_43 -to USB_PA[3]
    92 set_location_assignment PIN_44 -to USB_PA[2]
    93 set_location_assignment PIN_45 -to USB_PA[1]
    94 set_location_assignment PIN_46 -to USB_PA[0]
     87set_location_assignment PIN_37 -to USB_PA7
     88set_location_assignment PIN_38 -to USB_PA6
     89set_location_assignment PIN_39 -to USB_PA5
     90set_location_assignment PIN_41 -to USB_PA4
     91set_location_assignment PIN_43 -to USB_PA3
     92set_location_assignment PIN_44 -to USB_PA2
     93set_location_assignment PIN_45 -to USB_PA1
     94set_location_assignment PIN_46 -to USB_PA0
    9595set_location_assignment PIN_49 -to USB_FLAGC
    9696set_location_assignment PIN_50 -to USB_FLAGB
     
    212212set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGB
    213213set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGC
    214 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA[7]
    215 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA[6]
    216 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA[5]
    217 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA[4]
    218 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA[3]
    219 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA[2]
    220 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA[1]
    221 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA[0]
     214set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA7
     215set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA6
     216set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA5
     217set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA4
     218set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA3
     219set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA2
     220set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA1
     221set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA0
    222222set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[7]
    223223set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[6]
Note: See TracChangeset for help on using the changeset viewer.