source: trunk/Octopus/Octopus.qsf

Last change on this file was 102, checked in by demin, 14 years ago

initial commit

File size: 16.7 KB
Line 
1# -------------------------------------------------------------------------- #
2#
3# Copyright (C) 1991-2009 Altera Corporation
4# Your use of Altera Corporation's design tools, logic functions
5# and other software and tools, and its AMPP partner logic
6# functions, and any output files from any of the foregoing
7# (including device programming or simulation files), and any
8# associated documentation or information are expressly subject
9# to the terms and conditions of the Altera Program License
10# Subscription Agreement, Altera MegaCore Function License
11# Agreement, or other applicable license agreement, including,
12# without limitation, that your use is for the sole purpose of
13# programming logic devices manufactured by Altera and sold by
14# Altera or its authorized distributors. Please refer to the
15# applicable agreement for further details.
16#
17# -------------------------------------------------------------------------- #
18#
19# Quartus II
20# Version 9.0 Build 132 02/25/2009 SJ Web Edition
21# Date created = 14:14:14 August 28, 2009
22#
23# -------------------------------------------------------------------------- #
24#
25# Notes:
26#
27# 1) The default values for assignments are stored in the file:
28# Octopus_assignment_defaults.qdf
29# If this file doesn't exist, see file:
30# assignment_defaults.qdf
31#
32# 2) Altera recommends that you do not modify this file. This
33# file is updated automatically by the Quartus II software
34# and any changes you make may be lost or overwritten.
35#
36# -------------------------------------------------------------------------- #
37
38
39set_global_assignment -name FAMILY "Cyclone III"
40set_global_assignment -name DEVICE EP3C25Q240C8
41set_global_assignment -name TOP_LEVEL_ENTITY Octopus
42set_global_assignment -name ORIGINAL_QUARTUS_VERSION 9.0
43set_global_assignment -name PROJECT_CREATION_TIME_DATE "14:14:14 MARCH 17, 2010"
44set_global_assignment -name LAST_QUARTUS_VERSION 9.0
45set_global_assignment -name DEVICE_FILTER_PACKAGE "ANY QFP"
46set_global_assignment -name DEVICE_FILTER_PIN_COUNT 240
47set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
48set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
49set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR"
50set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
51set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION OFF
52set_global_assignment -name MISC_FILE Octopus.dpf
53set_global_assignment -name VERILOG_FILE Octopus.v
54set_global_assignment -name VERILOG_FILE adc_lvds.v
55set_global_assignment -name VERILOG_FILE adc_pll.v
56set_global_assignment -name VERILOG_FILE sys_pll.v
57set_global_assignment -name VERILOG_FILE control.v
58set_global_assignment -name VERILOG_FILE uwt_bior31.v
59set_global_assignment -name VERILOG_FILE analyser.v
60set_global_assignment -name VERILOG_FILE counter.v
61set_global_assignment -name VERILOG_FILE histogram.v
62set_global_assignment -name VERILOG_FILE trigger.v
63set_global_assignment -name VERILOG_FILE oscilloscope.v
64set_global_assignment -name VERILOG_FILE configuration.v
65set_global_assignment -name VERILOG_FILE usb_fifo.v
66set_global_assignment -name VERILOG_FILE i2c_fifo.v
67set_global_assignment -name VERILOG_FILE test.v
68set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER OFF
69set_global_assignment -name ENABLE_CLOCK_LATENCY ON
70set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
71set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
72set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
73set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
74set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
75set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
76set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS16
77set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
78set_global_assignment -name USE_CONFIGURATION_DEVICE ON
79set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE EPCS16
80set_global_assignment -name FORCE_CONFIGURATION_VCCIO ON
81set_global_assignment -name CONFIGURATION_VCCIO_LEVEL 3.3V
82set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
83set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
84set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 1
85set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 2
86set_global_assignment -name IOBANK_VCCIO 2.5V -section_id 3
87set_global_assignment -name IOBANK_VCCIO 2.5V -section_id 4
88set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 5
89set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 6
90set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 7
91set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 8
92set_location_assignment PIN_13 -to USB_IFCLK
93set_location_assignment PIN_18 -to USB_SLWR
94set_location_assignment PIN_21 -to USB_SLRD
95set_location_assignment PIN_37 -to USB_PA6
96set_location_assignment PIN_38 -to USB_PA5
97set_location_assignment PIN_39 -to USB_PA4
98set_location_assignment PIN_41 -to USB_PA2
99set_location_assignment PIN_43 -to USB_FLAGB
100set_location_assignment PIN_44 -to USB_FLAGA
101set_location_assignment PIN_45 -to USB_PB[7]
102set_location_assignment PIN_46 -to USB_PB[6]
103set_location_assignment PIN_49 -to USB_PB[5]
104set_location_assignment PIN_50 -to USB_PB[4]
105set_location_assignment PIN_51 -to USB_PB[0]
106set_location_assignment PIN_52 -to USB_PB[1]
107set_location_assignment PIN_55 -to USB_PB[2]
108set_location_assignment PIN_56 -to USB_PB[3]
109set_location_assignment PIN_57 -to LED
110set_location_assignment PIN_63 -to ADC_D[0]
111set_location_assignment PIN_64 -to "ADC_D[0](n)"
112set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[0]
113set_location_assignment PIN_71 -to ADC_D[1]
114set_location_assignment PIN_72 -to "ADC_D[1](n)"
115set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[1]
116set_location_assignment PIN_81 -to ADC_D[2]
117set_location_assignment PIN_82 -to "ADC_D[2](n)"
118set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[2]
119set_location_assignment PIN_89 -to ADC_D[3]
120set_location_assignment PIN_90 -to "ADC_D[3](n)"
121set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[3]
122set_location_assignment PIN_91 -to ADC_FCO
123set_location_assignment PIN_92 -to "ADC_FCO(n)"
124set_instance_assignment -name IO_STANDARD LVDS -to ADC_FCO
125set_location_assignment PIN_93 -to ADC_D[4]
126set_location_assignment PIN_94 -to "ADC_D[4](n)"
127set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[4]
128set_location_assignment PIN_98 -to ADC_D[5]
129set_location_assignment PIN_99 -to "ADC_D[5](n)"
130set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[5]
131set_location_assignment PIN_108 -to ADC_D[6]
132set_location_assignment PIN_109 -to "ADC_D[6](n)"
133set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[6]
134set_location_assignment PIN_119 -to ADC_D[7]
135set_location_assignment PIN_120 -to "ADC_D[7](n)"
136set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[7]
137set_location_assignment PIN_126 -to CON_A[0]
138set_location_assignment PIN_127 -to CON_A[1]
139set_location_assignment PIN_128 -to CON_A[2]
140set_location_assignment PIN_131 -to CON_A[3]
141set_location_assignment PIN_132 -to CON_A[4]
142set_location_assignment PIN_133 -to CON_A[5]
143set_location_assignment PIN_134 -to CON_A[6]
144set_location_assignment PIN_135 -to CON_A[7]
145set_location_assignment PIN_137 -to CON_A[8]
146set_location_assignment PIN_139 -to CON_A[9]
147set_location_assignment PIN_142 -to CON_A[10]
148set_location_assignment PIN_143 -to CON_A[11]
149set_location_assignment PIN_144 -to CON_A[12]
150set_location_assignment PIN_145 -to CON_A[13]
151set_location_assignment PIN_146 -to CON_A[14]
152set_location_assignment PIN_147 -to CON_A[15]
153set_location_assignment PIN_148 -to CON_A[16]
154set_location_assignment PIN_150 -to CLK_50MHz
155set_location_assignment PIN_151 -to CON_BCLK[0]
156set_location_assignment PIN_152 -to CON_BCLK[1]
157set_location_assignment PIN_159 -to CON_B[0]
158set_location_assignment PIN_160 -to CON_B[1]
159set_location_assignment PIN_161 -to CON_B[2]
160set_location_assignment PIN_162 -to CON_B[3]
161set_location_assignment PIN_164 -to CON_B[4]
162set_location_assignment PIN_166 -to CON_B[5]
163set_location_assignment PIN_167 -to CON_B[6]
164set_location_assignment PIN_168 -to CON_B[7]
165set_location_assignment PIN_169 -to CON_B[8]
166set_location_assignment PIN_171 -to CON_B[9]
167set_location_assignment PIN_173 -to CON_B[10]
168set_location_assignment PIN_176 -to I2C_SCL
169set_location_assignment PIN_177 -to I2C_SDA
170set_location_assignment PIN_181 -to RAM_DQB[7]
171set_location_assignment PIN_182 -to RAM_ADDR[6]
172set_location_assignment PIN_183 -to RAM_ADDR[7]
173set_location_assignment PIN_184 -to RAM_CE1
174set_location_assignment PIN_186 -to RAM_CLK
175set_location_assignment PIN_187 -to RAM_WE
176set_location_assignment PIN_188 -to RAM_ADDR[8]
177set_location_assignment PIN_189 -to RAM_ADDR[9]
178set_location_assignment PIN_194 -to RAM_ADDR[10]
179set_location_assignment PIN_195 -to RAM_ADDR[11]
180set_location_assignment PIN_196 -to RAM_ADDR[12]
181set_location_assignment PIN_197 -to RAM_DQAP
182set_location_assignment PIN_200 -to RAM_DQA[0]
183set_location_assignment PIN_201 -to RAM_DQA[1]
184set_location_assignment PIN_202 -to RAM_DQA[2]
185set_location_assignment PIN_203 -to RAM_DQA[3]
186set_location_assignment PIN_207 -to RAM_DQA[4]
187set_location_assignment PIN_214 -to RAM_DQA[5]
188set_location_assignment PIN_216 -to RAM_DQA[6]
189set_location_assignment PIN_217 -to RAM_DQA[7]
190set_location_assignment PIN_218 -to RAM_ADDR[13]
191set_location_assignment PIN_219 -to RAM_ADDR[14]
192set_location_assignment PIN_221 -to RAM_ADDR[15]
193set_location_assignment PIN_223 -to RAM_ADDR[16]
194set_location_assignment PIN_224 -to RAM_ADDR[17]
195set_location_assignment PIN_226 -to RAM_ADDR[18]
196set_location_assignment PIN_230 -to RAM_ADDR[19]
197set_location_assignment PIN_231 -to RAM_ADDR[0]
198set_location_assignment PIN_232 -to RAM_ADDR[1]
199set_location_assignment PIN_233 -to RAM_ADDR[2]
200set_location_assignment PIN_234 -to RAM_ADDR[3]
201set_location_assignment PIN_235 -to RAM_ADDR[4]
202set_location_assignment PIN_236 -to RAM_ADDR[5]
203set_location_assignment PIN_237 -to RAM_DQBP
204set_location_assignment PIN_238 -to RAM_DQB[0]
205set_location_assignment PIN_239 -to RAM_DQB[1]
206set_location_assignment PIN_240 -to RAM_DQB[2]
207set_location_assignment PIN_4 -to RAM_DQB[3]
208set_location_assignment PIN_5 -to RAM_DQB[4]
209set_location_assignment PIN_6 -to RAM_DQB[5]
210set_location_assignment PIN_9 -to RAM_DQB[6]
211set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_IFCLK
212set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_SLWR
213set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_SLRD
214set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGA
215set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGB
216set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA6
217set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA5
218set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA4
219set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA2
220set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[7]
221set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[6]
222set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[5]
223set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[4]
224set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[3]
225set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[2]
226set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[1]
227set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[0]
228set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED
229set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[0]
230set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[1]
231set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[2]
232set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[3]
233set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[4]
234set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[6]
235set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[7]
236set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[8]
237set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[9]
238set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[10]
239set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[11]
240set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[12]
241set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[5]
242set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[13]
243set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[14]
244set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[15]
245set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[16]
246set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLK_50MHz
247set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK[0]
248set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK[1]
249set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[0]
250set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[1]
251set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[2]
252set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[3]
253set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[4]
254set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[5]
255set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[6]
256set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[7]
257set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[8]
258set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[9]
259set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[10]
260set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SDA
261set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SCL
262set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[0]
263set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[1]
264set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[2]
265set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[3]
266set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[4]
267set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[5]
268set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[6]
269set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[7]
270set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[8]
271set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[9]
272set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[10]
273set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[11]
274set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[12]
275set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[13]
276set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[14]
277set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[15]
278set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[16]
279set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[17]
280set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[18]
281set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[19]
282set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_CLK
283set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_CE1
284set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_WE
285set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQAP
286set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[0]
287set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[1]
288set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[2]
289set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[3]
290set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[4]
291set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[5]
292set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[6]
293set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[7]
294set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQBP
295set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[0]
296set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[1]
297set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[2]
298set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[3]
299set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[4]
300set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[5]
301set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[6]
302set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[7]
Note: See TracBrowser for help on using the repository browser.