source: trunk/MultiChannelUSB/sys_pll.v@ 188

Last change on this file since 188 was 84, checked in by demin, 15 years ago

improve timings in all components

File size: 5.1 KB
RevLine 
[84]1// megafunction wizard: %ALTPLL%
2// GENERATION: STANDARD
3// VERSION: WM1.0
4// MODULE: altpll
5
6// ============================================================
7// File Name: sys_pll.v
8// Megafunction Name(s):
9// altpll
10//
11// Simulation Library Files(s):
12// altera_mf
13// ============================================================
14// ************************************************************
15// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16//
17// 9.0 Build 132 02/25/2009 SJ Web Edition
18// ************************************************************
19
20
21//Copyright (C) 1991-2009 Altera Corporation
22//Your use of Altera Corporation's design tools, logic functions
23//and other software and tools, and its AMPP partner logic
24//functions, and any output files from any of the foregoing
25//(including device programming or simulation files), and any
26//associated documentation or information are expressly subject
27//to the terms and conditions of the Altera Program License
28//Subscription Agreement, Altera MegaCore Function License
29//Agreement, or other applicable license agreement, including,
30//without limitation, that your use is for the sole purpose of
31//programming logic devices manufactured by Altera and sold by
32//Altera or its authorized distributors. Please refer to the
33//applicable agreement for further details.
34
35
36// synopsys translate_off
37`timescale 1 ps / 1 ps
38// synopsys translate_on
39module sys_pll (
40 inclk0,
41 c0);
42
43 input inclk0;
44 output c0;
45
46 wire [4:0] sub_wire0;
47 wire [0:0] sub_wire4 = 1'h0;
48 wire [0:0] sub_wire1 = sub_wire0[0:0];
49 wire c0 = sub_wire1;
50 wire sub_wire2 = inclk0;
51 wire [1:0] sub_wire3 = {sub_wire4, sub_wire2};
52
53 altpll altpll_component (
54 .inclk (sub_wire3),
55 .clk (sub_wire0),
56 .activeclock (),
57 .areset (1'b0),
58 .clkbad (),
59 .clkena ({6{1'b1}}),
60 .clkloss (),
61 .clkswitch (1'b0),
62 .configupdate (1'b0),
63 .enable0 (),
64 .enable1 (),
65 .extclk (),
66 .extclkena ({4{1'b1}}),
67 .fbin (1'b1),
68 .fbmimicbidir (),
69 .fbout (),
70 .locked (),
71 .pfdena (1'b1),
72 .phasecounterselect ({4{1'b1}}),
73 .phasedone (),
74 .phasestep (1'b1),
75 .phaseupdown (1'b1),
76 .pllena (1'b1),
77 .scanaclr (1'b0),
78 .scanclk (1'b0),
79 .scanclkena (1'b1),
80 .scandata (1'b0),
81 .scandataout (),
82 .scandone (),
83 .scanread (1'b0),
84 .scanwrite (1'b0),
85 .sclkout0 (),
86 .sclkout1 (),
87 .vcooverrange (),
88 .vcounderrange ());
89 defparam
90 altpll_component.bandwidth_type = "AUTO",
91 altpll_component.clk0_divide_by = 10,
92 altpll_component.clk0_duty_cycle = 50,
93 altpll_component.clk0_multiply_by = 17,
94 altpll_component.clk0_phase_shift = "0",
95 altpll_component.compensate_clock = "CLK0",
96 altpll_component.inclk0_input_frequency = 20000,
97 altpll_component.intended_device_family = "Cyclone III",
98 altpll_component.lpm_hint = "CBX_MODULE_PREFIX=pll",
99 altpll_component.lpm_type = "altpll",
100 altpll_component.operation_mode = "NORMAL",
101 altpll_component.pll_type = "AUTO",
102 altpll_component.port_activeclock = "PORT_UNUSED",
103 altpll_component.port_areset = "PORT_UNUSED",
104 altpll_component.port_clkbad0 = "PORT_UNUSED",
105 altpll_component.port_clkbad1 = "PORT_UNUSED",
106 altpll_component.port_clkloss = "PORT_UNUSED",
107 altpll_component.port_clkswitch = "PORT_UNUSED",
108 altpll_component.port_configupdate = "PORT_UNUSED",
109 altpll_component.port_fbin = "PORT_UNUSED",
110 altpll_component.port_inclk0 = "PORT_USED",
111 altpll_component.port_inclk1 = "PORT_UNUSED",
112 altpll_component.port_locked = "PORT_UNUSED",
113 altpll_component.port_pfdena = "PORT_UNUSED",
114 altpll_component.port_phasecounterselect = "PORT_UNUSED",
115 altpll_component.port_phasedone = "PORT_UNUSED",
116 altpll_component.port_phasestep = "PORT_UNUSED",
117 altpll_component.port_phaseupdown = "PORT_UNUSED",
118 altpll_component.port_pllena = "PORT_UNUSED",
119 altpll_component.port_scanaclr = "PORT_UNUSED",
120 altpll_component.port_scanclk = "PORT_UNUSED",
121 altpll_component.port_scanclkena = "PORT_UNUSED",
122 altpll_component.port_scandata = "PORT_UNUSED",
123 altpll_component.port_scandataout = "PORT_UNUSED",
124 altpll_component.port_scandone = "PORT_UNUSED",
125 altpll_component.port_scanread = "PORT_UNUSED",
126 altpll_component.port_scanwrite = "PORT_UNUSED",
127 altpll_component.port_clk0 = "PORT_USED",
128 altpll_component.port_clk1 = "PORT_UNUSED",
129 altpll_component.port_clk2 = "PORT_UNUSED",
130 altpll_component.port_clk3 = "PORT_UNUSED",
131 altpll_component.port_clk4 = "PORT_UNUSED",
132 altpll_component.port_clk5 = "PORT_UNUSED",
133 altpll_component.port_clkena0 = "PORT_UNUSED",
134 altpll_component.port_clkena1 = "PORT_UNUSED",
135 altpll_component.port_clkena2 = "PORT_UNUSED",
136 altpll_component.port_clkena3 = "PORT_UNUSED",
137 altpll_component.port_clkena4 = "PORT_UNUSED",
138 altpll_component.port_clkena5 = "PORT_UNUSED",
139 altpll_component.port_extclk0 = "PORT_UNUSED",
140 altpll_component.port_extclk1 = "PORT_UNUSED",
141 altpll_component.port_extclk2 = "PORT_UNUSED",
142 altpll_component.port_extclk3 = "PORT_UNUSED",
143 altpll_component.width_clock = 5;
144
145endmodule
Note: See TracBrowser for help on using the repository browser.