source: trunk/MultiChannelUSB/ram4096x32.v@ 41

Last change on this file since 41 was 27, checked in by demin, 15 years ago

initial commit

File size: 3.8 KB
Line 
1// megafunction wizard: %RAM: 2-PORT%
2// GENERATION: STANDARD
3// VERSION: WM1.0
4// MODULE: altsyncram
5
6// ============================================================
7// File Name: ram4096x32.v
8// Megafunction Name(s):
9// altsyncram
10//
11// Simulation Library Files(s):
12// altera_mf
13// ============================================================
14// ************************************************************
15// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16//
17// 9.0 Build 132 02/25/2009 SJ Web Edition
18// ************************************************************
19
20
21//Copyright (C) 1991-2009 Altera Corporation
22//Your use of Altera Corporation's design tools, logic functions
23//and other software and tools, and its AMPP partner logic
24//functions, and any output files from any of the foregoing
25//(including device programming or simulation files), and any
26//associated documentation or information are expressly subject
27//to the terms and conditions of the Altera Program License
28//Subscription Agreement, Altera MegaCore Function License
29//Agreement, or other applicable license agreement, including,
30//without limitation, that your use is for the sole purpose of
31//programming logic devices manufactured by Altera and sold by
32//Altera or its authorized distributors. Please refer to the
33//applicable agreement for further details.
34
35
36// synopsys translate_off
37`timescale 1 ps / 1 ps
38// synopsys translate_on
39module ram4096x32 (
40 address_a,
41 address_b,
42 clock,
43 data_a,
44 data_b,
45 wren_a,
46 wren_b,
47 q_a,
48 q_b);
49
50 input [11:0] address_a;
51 input [11:0] address_b;
52 input clock;
53 input [31:0] data_a;
54 input [31:0] data_b;
55 input wren_a;
56 input wren_b;
57 output [31:0] q_a;
58 output [31:0] q_b;
59`ifndef ALTERA_RESERVED_QIS
60// synopsys translate_off
61`endif
62 tri1 wren_a;
63 tri1 wren_b;
64`ifndef ALTERA_RESERVED_QIS
65// synopsys translate_on
66`endif
67
68 wire [31:0] sub_wire0;
69 wire [31:0] sub_wire1;
70 wire [31:0] q_a = sub_wire0[31:0];
71 wire [31:0] q_b = sub_wire1[31:0];
72
73 altsyncram altsyncram_component (
74 .wren_a (wren_a),
75 .clock0 (clock),
76 .wren_b (wren_b),
77 .address_a (address_a),
78 .address_b (address_b),
79 .data_a (data_a),
80 .data_b (data_b),
81 .q_a (sub_wire0),
82 .q_b (sub_wire1),
83 .aclr0 (1'b0),
84 .aclr1 (1'b0),
85 .addressstall_a (1'b0),
86 .addressstall_b (1'b0),
87 .byteena_a (1'b1),
88 .byteena_b (1'b1),
89 .clock1 (1'b1),
90 .clocken0 (1'b1),
91 .clocken1 (1'b1),
92 .clocken2 (1'b1),
93 .clocken3 (1'b1),
94 .eccstatus (),
95 .rden_a (1'b1),
96 .rden_b (1'b1));
97 defparam
98 altsyncram_component.address_reg_b = "CLOCK0",
99 altsyncram_component.clock_enable_input_a = "BYPASS",
100 altsyncram_component.clock_enable_input_b = "BYPASS",
101 altsyncram_component.clock_enable_output_a = "BYPASS",
102 altsyncram_component.clock_enable_output_b = "BYPASS",
103 altsyncram_component.indata_reg_b = "CLOCK0",
104 altsyncram_component.intended_device_family = "Cyclone III",
105 altsyncram_component.lpm_type = "altsyncram",
106 altsyncram_component.numwords_a = 4096,
107 altsyncram_component.numwords_b = 4096,
108 altsyncram_component.operation_mode = "BIDIR_DUAL_PORT",
109 altsyncram_component.outdata_aclr_a = "NONE",
110 altsyncram_component.outdata_aclr_b = "NONE",
111 altsyncram_component.outdata_reg_a = "UNREGISTERED",
112 altsyncram_component.outdata_reg_b = "UNREGISTERED",
113 altsyncram_component.power_up_uninitialized = "FALSE",
114 altsyncram_component.read_during_write_mode_mixed_ports = "OLD_DATA",
115 altsyncram_component.widthad_a = 12,
116 altsyncram_component.widthad_b = 12,
117 altsyncram_component.width_a = 32,
118 altsyncram_component.width_b = 32,
119 altsyncram_component.width_byteena_a = 1,
120 altsyncram_component.width_byteena_b = 1,
121 altsyncram_component.wrcontrol_wraddress_reg_b = "CLOCK0";
122
123
124endmodule
Note: See TracBrowser for help on using the repository browser.