source: trunk/MultiChannelUSB/ram1024x16.v@ 41

Last change on this file since 41 was 27, checked in by demin, 15 years ago

initial commit

File size: 3.4 KB
Line 
1// megafunction wizard: %RAM: 2-PORT%
2// GENERATION: STANDARD
3// VERSION: WM1.0
4// MODULE: altsyncram
5
6// ============================================================
7// File Name: ram1024x16.v
8// Megafunction Name(s):
9// altsyncram
10//
11// Simulation Library Files(s):
12// altera_mf
13// ============================================================
14// ************************************************************
15// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16//
17// 9.0 Build 132 02/25/2009 SJ Web Edition
18// ************************************************************
19
20
21//Copyright (C) 1991-2009 Altera Corporation
22//Your use of Altera Corporation's design tools, logic functions
23//and other software and tools, and its AMPP partner logic
24//functions, and any output files from any of the foregoing
25//(including device programming or simulation files), and any
26//associated documentation or information are expressly subject
27//to the terms and conditions of the Altera Program License
28//Subscription Agreement, Altera MegaCore Function License
29//Agreement, or other applicable license agreement, including,
30//without limitation, that your use is for the sole purpose of
31//programming logic devices manufactured by Altera and sold by
32//Altera or its authorized distributors. Please refer to the
33//applicable agreement for further details.
34
35
36// synopsys translate_off
37`timescale 1 ps / 1 ps
38// synopsys translate_on
39module ram1024x16 (
40 clock,
41 data,
42 rdaddress,
43 wraddress,
44 wren,
45 q);
46
47 input clock;
48 input [15:0] data;
49 input [9:0] rdaddress;
50 input [9:0] wraddress;
51 input wren;
52 output [15:0] q;
53`ifndef ALTERA_RESERVED_QIS
54// synopsys translate_off
55`endif
56 tri1 wren;
57`ifndef ALTERA_RESERVED_QIS
58// synopsys translate_on
59`endif
60
61 wire [15:0] sub_wire0;
62 wire [15:0] q = sub_wire0[15:0];
63
64 altsyncram altsyncram_component (
65 .wren_a (wren),
66 .clock0 (clock),
67 .address_a (wraddress),
68 .address_b (rdaddress),
69 .data_a (data),
70 .q_b (sub_wire0),
71 .aclr0 (1'b0),
72 .aclr1 (1'b0),
73 .addressstall_a (1'b0),
74 .addressstall_b (1'b0),
75 .byteena_a (1'b1),
76 .byteena_b (1'b1),
77 .clock1 (1'b1),
78 .clocken0 (1'b1),
79 .clocken1 (1'b1),
80 .clocken2 (1'b1),
81 .clocken3 (1'b1),
82 .data_b ({16{1'b1}}),
83 .eccstatus (),
84 .q_a (),
85 .rden_a (1'b1),
86 .rden_b (1'b1),
87 .wren_b (1'b0));
88 defparam
89 altsyncram_component.address_reg_b = "CLOCK0",
90 altsyncram_component.clock_enable_input_a = "BYPASS",
91 altsyncram_component.clock_enable_input_b = "BYPASS",
92 altsyncram_component.clock_enable_output_a = "BYPASS",
93 altsyncram_component.clock_enable_output_b = "BYPASS",
94 altsyncram_component.intended_device_family = "Cyclone III",
95 altsyncram_component.lpm_type = "altsyncram",
96 altsyncram_component.numwords_a = 1024,
97 altsyncram_component.numwords_b = 1024,
98 altsyncram_component.operation_mode = "DUAL_PORT",
99 altsyncram_component.outdata_aclr_b = "NONE",
100 altsyncram_component.outdata_reg_b = "UNREGISTERED",
101 altsyncram_component.power_up_uninitialized = "FALSE",
102 altsyncram_component.read_during_write_mode_mixed_ports = "OLD_DATA",
103 altsyncram_component.widthad_a = 10,
104 altsyncram_component.widthad_b = 10,
105 altsyncram_component.width_a = 16,
106 altsyncram_component.width_b = 16,
107 altsyncram_component.width_byteena_a = 1;
108
109
110endmodule
Note: See TracBrowser for help on using the repository browser.