[27] | 1 | // megafunction wizard: %FIFO%
|
---|
| 2 | // GENERATION: STANDARD
|
---|
| 3 | // VERSION: WM1.0
|
---|
| 4 | // MODULE: dcfifo
|
---|
| 5 |
|
---|
| 6 | // ============================================================
|
---|
| 7 | // File Name: fifo32x8.v
|
---|
| 8 | // Megafunction Name(s):
|
---|
| 9 | // dcfifo
|
---|
| 10 | //
|
---|
| 11 | // Simulation Library Files(s):
|
---|
| 12 | // altera_mf
|
---|
| 13 | // ============================================================
|
---|
| 14 | // ************************************************************
|
---|
| 15 | // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
|
---|
| 16 | //
|
---|
| 17 | // 9.0 Build 132 02/25/2009 SJ Web Edition
|
---|
| 18 | // ************************************************************
|
---|
| 19 |
|
---|
| 20 |
|
---|
| 21 | //Copyright (C) 1991-2009 Altera Corporation
|
---|
| 22 | //Your use of Altera Corporation's design tools, logic functions
|
---|
| 23 | //and other software and tools, and its AMPP partner logic
|
---|
| 24 | //functions, and any output files from any of the foregoing
|
---|
| 25 | //(including device programming or simulation files), and any
|
---|
| 26 | //associated documentation or information are expressly subject
|
---|
| 27 | //to the terms and conditions of the Altera Program License
|
---|
| 28 | //Subscription Agreement, Altera MegaCore Function License
|
---|
| 29 | //Agreement, or other applicable license agreement, including,
|
---|
| 30 | //without limitation, that your use is for the sole purpose of
|
---|
| 31 | //programming logic devices manufactured by Altera and sold by
|
---|
| 32 | //Altera or its authorized distributors. Please refer to the
|
---|
| 33 | //applicable agreement for further details.
|
---|
| 34 |
|
---|
| 35 |
|
---|
| 36 | // synopsys translate_off
|
---|
| 37 | `timescale 1 ps / 1 ps
|
---|
| 38 | // synopsys translate_on
|
---|
| 39 | module fifo32x8 (
|
---|
| 40 | aclr,
|
---|
| 41 | data,
|
---|
| 42 | rdclk,
|
---|
| 43 | rdreq,
|
---|
| 44 | wrclk,
|
---|
| 45 | wrreq,
|
---|
| 46 | q,
|
---|
| 47 | rdempty,
|
---|
| 48 | wrfull);
|
---|
| 49 |
|
---|
| 50 | input aclr;
|
---|
| 51 | input [7:0] data;
|
---|
| 52 | input rdclk;
|
---|
| 53 | input rdreq;
|
---|
| 54 | input wrclk;
|
---|
| 55 | input wrreq;
|
---|
| 56 | output [7:0] q;
|
---|
| 57 | output rdempty;
|
---|
| 58 | output wrfull;
|
---|
| 59 | `ifndef ALTERA_RESERVED_QIS
|
---|
| 60 | // synopsys translate_off
|
---|
| 61 | `endif
|
---|
| 62 | tri0 aclr;
|
---|
| 63 | `ifndef ALTERA_RESERVED_QIS
|
---|
| 64 | // synopsys translate_on
|
---|
| 65 | `endif
|
---|
| 66 |
|
---|
| 67 | wire sub_wire0;
|
---|
| 68 | wire sub_wire1;
|
---|
| 69 | wire [7:0] sub_wire2;
|
---|
| 70 | wire rdempty = sub_wire0;
|
---|
| 71 | wire wrfull = sub_wire1;
|
---|
| 72 | wire [7:0] q = sub_wire2[7:0];
|
---|
| 73 |
|
---|
| 74 | dcfifo dcfifo_component (
|
---|
| 75 | .wrclk (wrclk),
|
---|
| 76 | .rdreq (rdreq),
|
---|
| 77 | .aclr (aclr),
|
---|
| 78 | .rdclk (rdclk),
|
---|
| 79 | .wrreq (wrreq),
|
---|
| 80 | .data (data),
|
---|
| 81 | .rdempty (sub_wire0),
|
---|
| 82 | .wrfull (sub_wire1),
|
---|
| 83 | .q (sub_wire2)
|
---|
| 84 | // synopsys translate_off
|
---|
| 85 | ,
|
---|
| 86 | .rdfull (),
|
---|
| 87 | .rdusedw (),
|
---|
| 88 | .wrempty (),
|
---|
| 89 | .wrusedw ()
|
---|
| 90 | // synopsys translate_on
|
---|
| 91 | );
|
---|
| 92 | defparam
|
---|
| 93 | dcfifo_component.intended_device_family = "Cyclone III",
|
---|
| 94 | dcfifo_component.lpm_numwords = 32,
|
---|
| 95 | dcfifo_component.lpm_showahead = "ON",
|
---|
| 96 | dcfifo_component.lpm_type = "dcfifo",
|
---|
| 97 | dcfifo_component.lpm_width = 8,
|
---|
| 98 | dcfifo_component.lpm_widthu = 5,
|
---|
| 99 | dcfifo_component.overflow_checking = "ON",
|
---|
| 100 | dcfifo_component.rdsync_delaypipe = 4,
|
---|
| 101 | dcfifo_component.underflow_checking = "ON",
|
---|
| 102 | dcfifo_component.use_eab = "ON",
|
---|
| 103 | dcfifo_component.write_aclr_synch = "OFF",
|
---|
| 104 | dcfifo_component.wrsync_delaypipe = 4;
|
---|
| 105 |
|
---|
| 106 |
|
---|
| 107 | endmodule
|
---|