source: trunk/MultiChannelUSB/Paella.qsf@ 148

Last change on this file since 148 was 101, checked in by demin, 14 years ago

add exposure counter

File size: 18.0 KB
Line 
1# -------------------------------------------------------------------------- #
2#
3# Copyright (C) 1991-2009 Altera Corporation
4# Your use of Altera Corporation's design tools, logic functions
5# and other software and tools, and its AMPP partner logic
6# functions, and any output files from any of the foregoing
7# (including device programming or simulation files), and any
8# associated documentation or information are expressly subject
9# to the terms and conditions of the Altera Program License
10# Subscription Agreement, Altera MegaCore Function License
11# Agreement, or other applicable license agreement, including,
12# without limitation, that your use is for the sole purpose of
13# programming logic devices manufactured by Altera and sold by
14# Altera or its authorized distributors. Please refer to the
15# applicable agreement for further details.
16#
17# -------------------------------------------------------------------------- #
18#
19# Quartus II
20# Version 9.0 Build 132 02/25/2009 SJ Web Edition
21# Date created = 14:14:14 August 28, 2009
22#
23# -------------------------------------------------------------------------- #
24#
25# Notes:
26#
27# 1) The default values for assignments are stored in the file:
28# Paella_assignment_defaults.qdf
29# If this file doesn't exist, see file:
30# assignment_defaults.qdf
31#
32# 2) Altera recommends that you do not modify this file. This
33# file is updated automatically by the Quartus II software
34# and any changes you make may be lost or overwritten.
35#
36# -------------------------------------------------------------------------- #
37
38
39set_global_assignment -name FAMILY "Cyclone III"
40set_global_assignment -name DEVICE EP3C25Q240C8
41set_global_assignment -name TOP_LEVEL_ENTITY Paella
42set_global_assignment -name ORIGINAL_QUARTUS_VERSION 9.0
43set_global_assignment -name PROJECT_CREATION_TIME_DATE "14:14:14 AUGUST 28, 2009"
44set_global_assignment -name LAST_QUARTUS_VERSION 9.0
45set_global_assignment -name DEVICE_FILTER_PACKAGE "ANY QFP"
46set_global_assignment -name DEVICE_FILTER_PIN_COUNT 240
47set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
48set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
49set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION OFF
50set_global_assignment -name MISC_FILE Paella.dpf
51set_global_assignment -name VERILOG_FILE Paella.v
52set_global_assignment -name VERILOG_FILE adc_fifo.v
53set_global_assignment -name VERILOG_FILE adc_lvds.v
54set_global_assignment -name VERILOG_FILE adc_para.v
55set_global_assignment -name VERILOG_FILE adc_pll.v
56set_global_assignment -name VERILOG_FILE control.v
57set_global_assignment -name VERILOG_FILE analyser.v
58set_global_assignment -name VERILOG_FILE counter.v
59set_global_assignment -name VERILOG_FILE histogram.v
60set_global_assignment -name VERILOG_FILE trigger.v
61set_global_assignment -name VERILOG_FILE oscilloscope.v
62set_global_assignment -name VERILOG_FILE configuration.v
63set_global_assignment -name VERILOG_FILE usb_fifo.v
64set_global_assignment -name VERILOG_FILE i2c_fifo.v
65set_global_assignment -name VERILOG_FILE uwt_bior31.v
66set_global_assignment -name VERILOG_FILE test.v
67set_global_assignment -name VERILOG_FILE test_pll.v
68set_global_assignment -name VERILOG_FILE sys_pll.v
69set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER OFF
70set_global_assignment -name ENABLE_CLOCK_LATENCY ON
71set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
72set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
73set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
74set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
75set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
76set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
77set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS16
78set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
79set_global_assignment -name USE_CONFIGURATION_DEVICE ON
80set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE EPCS16
81set_global_assignment -name FORCE_CONFIGURATION_VCCIO ON
82set_global_assignment -name CONFIGURATION_VCCIO_LEVEL 3.3V
83set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
84set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
85set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 1
86set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 2
87set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 3
88set_global_assignment -name IOBANK_VCCIO 2.5V -section_id 4
89set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 5
90set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 6
91set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 7
92set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 8
93set_location_assignment PIN_21 -to LED
94set_location_assignment PIN_33 -to CLK_50MHz
95set_location_assignment PIN_37 -to USB_PA7
96set_location_assignment PIN_38 -to USB_PA6
97set_location_assignment PIN_39 -to USB_PA5
98set_location_assignment PIN_41 -to USB_PA4
99set_location_assignment PIN_43 -to USB_PA3
100set_location_assignment PIN_44 -to USB_PA2
101set_location_assignment PIN_45 -to USB_PA1
102set_location_assignment PIN_46 -to USB_PA0
103set_location_assignment PIN_49 -to USB_FLAGC
104set_location_assignment PIN_50 -to USB_FLAGB
105set_location_assignment PIN_51 -to USB_FLAGA
106set_location_assignment PIN_52 -to USB_PB[7]
107set_location_assignment PIN_55 -to USB_PB[6]
108set_location_assignment PIN_56 -to USB_PB[5]
109set_location_assignment PIN_57 -to USB_PB[4]
110set_location_assignment PIN_63 -to USB_SLRD
111set_location_assignment PIN_64 -to USB_SLWR
112set_location_assignment PIN_65 -to USB_IFCLK
113set_location_assignment PIN_68 -to USB_PB[0]
114set_location_assignment PIN_69 -to USB_PB[1]
115set_location_assignment PIN_70 -to USB_PB[2]
116set_location_assignment PIN_71 -to USB_PB[3]
117set_location_assignment PIN_72 -to I2C_SDA
118set_location_assignment PIN_73 -to I2C_SCL
119set_location_assignment PIN_76 -to CON_A[0]
120set_location_assignment PIN_78 -to CON_A[1]
121set_location_assignment PIN_80 -to CON_A[2]
122set_location_assignment PIN_81 -to CON_A[3]
123set_location_assignment PIN_82 -to CON_A[4]
124set_location_assignment PIN_83 -to TRG[0]
125set_location_assignment PIN_84 -to TRG[1]
126set_location_assignment PIN_87 -to TRG[2]
127set_location_assignment PIN_88 -to TRG[3]
128set_location_assignment PIN_91 -to ADC_DCO
129set_location_assignment PIN_92 -to "ADC_DCO(n)"
130set_instance_assignment -name IO_STANDARD LVDS -to ADC_DCO
131set_location_assignment PIN_93 -to ADC_FCO
132set_location_assignment PIN_94 -to "ADC_FCO(n)"
133set_instance_assignment -name IO_STANDARD LVDS -to ADC_FCO
134set_location_assignment PIN_98 -to ADC_D[0]
135set_location_assignment PIN_99 -to "ADC_D[0](n)"
136set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[0]
137set_location_assignment PIN_108 -to ADC_D[1]
138set_location_assignment PIN_109 -to "ADC_D[1](n)"
139set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[1]
140set_location_assignment PIN_119 -to ADC_D[2]
141set_location_assignment PIN_120 -to "ADC_D[2](n)"
142set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[2]
143set_location_assignment PIN_126 -to CON_B[0]
144set_location_assignment PIN_127 -to CON_B[1]
145set_location_assignment PIN_128 -to CON_B[2]
146set_location_assignment PIN_131 -to CON_B[3]
147set_location_assignment PIN_132 -to CON_B[4]
148set_location_assignment PIN_133 -to CON_B[5]
149set_location_assignment PIN_134 -to CON_B[6]
150set_location_assignment PIN_135 -to CON_B[7]
151set_location_assignment PIN_137 -to CON_B[8]
152set_location_assignment PIN_139 -to CON_B[9]
153set_location_assignment PIN_142 -to CON_B[10]
154set_location_assignment PIN_143 -to CON_B[11]
155set_location_assignment PIN_144 -to CON_B[12]
156set_location_assignment PIN_145 -to CON_B[13]
157set_location_assignment PIN_146 -to CON_B[14]
158set_location_assignment PIN_147 -to CON_B[15]
159set_location_assignment PIN_149 -to CON_BCLK[0]
160set_location_assignment PIN_150 -to CON_BCLK[1]
161set_location_assignment PIN_151 -to CON_CCLK[0]
162set_location_assignment PIN_152 -to CON_CCLK[1]
163set_location_assignment PIN_159 -to CON_C[0]
164set_location_assignment PIN_160 -to CON_C[1]
165set_location_assignment PIN_161 -to CON_C[2]
166set_location_assignment PIN_162 -to CON_C[3]
167set_location_assignment PIN_164 -to CON_C[4]
168set_location_assignment PIN_166 -to CON_C[5]
169set_location_assignment PIN_167 -to CON_C[6]
170set_location_assignment PIN_168 -to CON_C[7]
171set_location_assignment PIN_169 -to CON_C[8]
172set_location_assignment PIN_171 -to CON_C[9]
173set_location_assignment PIN_173 -to CON_C[10]
174set_location_assignment PIN_176 -to CON_C[11]
175set_location_assignment PIN_177 -to CON_C[12]
176set_location_assignment PIN_181 -to RAM_DQB[7]
177set_location_assignment PIN_182 -to RAM_ADDR[6]
178set_location_assignment PIN_183 -to RAM_ADDR[7]
179set_location_assignment PIN_184 -to RAM_CE1
180set_location_assignment PIN_186 -to RAM_CLK
181set_location_assignment PIN_187 -to RAM_WE
182set_location_assignment PIN_188 -to RAM_ADDR[8]
183set_location_assignment PIN_189 -to RAM_ADDR[9]
184set_location_assignment PIN_194 -to RAM_ADDR[10]
185set_location_assignment PIN_195 -to RAM_ADDR[11]
186set_location_assignment PIN_196 -to RAM_ADDR[12]
187set_location_assignment PIN_197 -to RAM_DQAP
188set_location_assignment PIN_200 -to RAM_DQA[0]
189set_location_assignment PIN_201 -to RAM_DQA[1]
190set_location_assignment PIN_202 -to RAM_DQA[2]
191set_location_assignment PIN_203 -to RAM_DQA[3]
192set_location_assignment PIN_207 -to RAM_DQA[4]
193set_location_assignment PIN_214 -to RAM_DQA[5]
194set_location_assignment PIN_216 -to RAM_DQA[6]
195set_location_assignment PIN_217 -to RAM_DQA[7]
196set_location_assignment PIN_218 -to RAM_ADDR[13]
197set_location_assignment PIN_219 -to RAM_ADDR[14]
198set_location_assignment PIN_221 -to RAM_ADDR[15]
199set_location_assignment PIN_223 -to RAM_ADDR[16]
200set_location_assignment PIN_224 -to RAM_ADDR[17]
201set_location_assignment PIN_226 -to RAM_ADDR[18]
202set_location_assignment PIN_230 -to RAM_ADDR[19]
203set_location_assignment PIN_231 -to RAM_ADDR[0]
204set_location_assignment PIN_232 -to RAM_ADDR[1]
205set_location_assignment PIN_233 -to RAM_ADDR[2]
206set_location_assignment PIN_234 -to RAM_ADDR[3]
207set_location_assignment PIN_235 -to RAM_ADDR[4]
208set_location_assignment PIN_236 -to RAM_ADDR[5]
209set_location_assignment PIN_237 -to RAM_DQBP
210set_location_assignment PIN_238 -to RAM_DQB[0]
211set_location_assignment PIN_239 -to RAM_DQB[1]
212set_location_assignment PIN_240 -to RAM_DQB[2]
213set_location_assignment PIN_4 -to RAM_DQB[3]
214set_location_assignment PIN_5 -to RAM_DQB[4]
215set_location_assignment PIN_6 -to RAM_DQB[5]
216set_location_assignment PIN_9 -to RAM_DQB[6]
217set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED
218set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLK_50MHz
219set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGA
220set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGB
221set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGC
222set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA7
223set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA6
224set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA5
225set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA4
226set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA3
227set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA2
228set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA1
229set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA0
230set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[7]
231set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[6]
232set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[5]
233set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[4]
234set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[3]
235set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[2]
236set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[1]
237set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[0]
238set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_SLRD
239set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_SLWR
240set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_IFCLK
241set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SDA
242set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SCL
243set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[0]
244set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[1]
245set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[2]
246set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[3]
247set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[4]
248set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[0]
249set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[1]
250set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[2]
251set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[3]
252set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[0]
253set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[1]
254set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[2]
255set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[3]
256set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[4]
257set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[6]
258set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[7]
259set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[8]
260set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[9]
261set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[10]
262set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[11]
263set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[12]
264set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[5]
265set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[13]
266set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[14]
267set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[15]
268set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK[0]
269set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK[1]
270set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[0]
271set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[1]
272set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[2]
273set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[3]
274set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[4]
275set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[5]
276set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[6]
277set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[7]
278set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[8]
279set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[9]
280set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[10]
281set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[11]
282set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[12]
283set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_CCLK[0]
284set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_CCLK[1]
285set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[0]
286set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[1]
287set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[2]
288set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[3]
289set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[4]
290set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[5]
291set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[6]
292set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[7]
293set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[8]
294set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[9]
295set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[10]
296set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[11]
297set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[12]
298set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[13]
299set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[14]
300set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[15]
301set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[16]
302set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[17]
303set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[18]
304set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[19]
305set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_CLK
306set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_CE1
307set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_WE
308set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQAP
309set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[0]
310set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[1]
311set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[2]
312set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[3]
313set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[4]
314set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[5]
315set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[6]
316set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[7]
317set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQBP
318set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[0]
319set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[1]
320set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[2]
321set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[3]
322set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[4]
323set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[5]
324set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[6]
325set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[7]
Note: See TracBrowser for help on using the repository browser.