source: trunk/MultiChannelUSB/Paella.qsf@ 75

Last change on this file since 75 was 72, checked in by demin, 15 years ago

testing all components together

File size: 17.9 KB
Line 
1# -------------------------------------------------------------------------- #
2#
3# Copyright (C) 1991-2009 Altera Corporation
4# Your use of Altera Corporation's design tools, logic functions
5# and other software and tools, and its AMPP partner logic
6# functions, and any output files from any of the foregoing
7# (including device programming or simulation files), and any
8# associated documentation or information are expressly subject
9# to the terms and conditions of the Altera Program License
10# Subscription Agreement, Altera MegaCore Function License
11# Agreement, or other applicable license agreement, including,
12# without limitation, that your use is for the sole purpose of
13# programming logic devices manufactured by Altera and sold by
14# Altera or its authorized distributors. Please refer to the
15# applicable agreement for further details.
16#
17# -------------------------------------------------------------------------- #
18#
19# Quartus II
20# Version 9.0 Build 132 02/25/2009 SJ Web Edition
21# Date created = 14:14:14 August 28, 2009
22#
23# -------------------------------------------------------------------------- #
24#
25# Notes:
26#
27# 1) The default values for assignments are stored in the file:
28# Paella_assignment_defaults.qdf
29# If this file doesn't exist, see file:
30# assignment_defaults.qdf
31#
32# 2) Altera recommends that you do not modify this file. This
33# file is updated automatically by the Quartus II software
34# and any changes you make may be lost or overwritten.
35#
36# -------------------------------------------------------------------------- #
37
38
39set_global_assignment -name FAMILY "Cyclone III"
40set_global_assignment -name DEVICE EP3C25Q240C8
41set_global_assignment -name TOP_LEVEL_ENTITY Paella
42set_global_assignment -name ORIGINAL_QUARTUS_VERSION 9.0
43set_global_assignment -name PROJECT_CREATION_TIME_DATE "14:14:14 AUGUST 28, 2009"
44set_global_assignment -name LAST_QUARTUS_VERSION 9.0
45set_global_assignment -name DEVICE_FILTER_PACKAGE "ANY QFP"
46set_global_assignment -name DEVICE_FILTER_PIN_COUNT 240
47set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
48set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
49set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION OFF
50set_global_assignment -name MISC_FILE Paella.dpf
51set_global_assignment -name VERILOG_FILE Paella.v
52set_global_assignment -name VERILOG_FILE adc_fifo.v
53set_global_assignment -name VERILOG_FILE adc_lvds.v
54set_global_assignment -name VERILOG_FILE adc_para.v
55set_global_assignment -name VERILOG_FILE adc_pll.v
56set_global_assignment -name VERILOG_FILE control.v
57set_global_assignment -name VERILOG_FILE analyser.v
58set_global_assignment -name VERILOG_FILE baseline.v
59set_global_assignment -name VERILOG_FILE histogram.v
60set_global_assignment -name VERILOG_FILE trigger.v
61set_global_assignment -name VERILOG_FILE oscilloscope.v
62set_global_assignment -name VERILOG_FILE usb_fifo.v
63set_global_assignment -name VERILOG_FILE i2c_fifo.v
64set_global_assignment -name VERILOG_FILE uwt_bior31.v
65set_global_assignment -name VERILOG_FILE test.v
66set_global_assignment -name VERILOG_FILE test_pll.v
67set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER OFF
68set_global_assignment -name ENABLE_CLOCK_LATENCY ON
69set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
70set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
71set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
72set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
73set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
74set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
75set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS16
76set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
77set_global_assignment -name USE_CONFIGURATION_DEVICE ON
78set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE EPCS16
79set_global_assignment -name FORCE_CONFIGURATION_VCCIO ON
80set_global_assignment -name CONFIGURATION_VCCIO_LEVEL 3.3V
81set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
82set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
83set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 1
84set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 2
85set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 3
86set_global_assignment -name IOBANK_VCCIO 2.5V -section_id 4
87set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 5
88set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 6
89set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 7
90set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 8
91set_location_assignment PIN_21 -to LED
92set_location_assignment PIN_33 -to CLK_50MHz
93set_location_assignment PIN_37 -to USB_PA7
94set_location_assignment PIN_38 -to USB_PA6
95set_location_assignment PIN_39 -to USB_PA5
96set_location_assignment PIN_41 -to USB_PA4
97set_location_assignment PIN_43 -to USB_PA3
98set_location_assignment PIN_44 -to USB_PA2
99set_location_assignment PIN_45 -to USB_PA1
100set_location_assignment PIN_46 -to USB_PA0
101set_location_assignment PIN_49 -to USB_FLAGC
102set_location_assignment PIN_50 -to USB_FLAGB
103set_location_assignment PIN_51 -to USB_FLAGA
104set_location_assignment PIN_52 -to USB_PB[7]
105set_location_assignment PIN_55 -to USB_PB[6]
106set_location_assignment PIN_56 -to USB_PB[5]
107set_location_assignment PIN_57 -to USB_PB[4]
108set_location_assignment PIN_63 -to USB_SLRD
109set_location_assignment PIN_64 -to USB_SLWR
110set_location_assignment PIN_65 -to USB_IFCLK
111set_location_assignment PIN_68 -to USB_PB[0]
112set_location_assignment PIN_69 -to USB_PB[1]
113set_location_assignment PIN_70 -to USB_PB[2]
114set_location_assignment PIN_71 -to USB_PB[3]
115set_location_assignment PIN_72 -to I2C_SDA
116set_location_assignment PIN_73 -to I2C_SCL
117set_location_assignment PIN_76 -to CON_A[0]
118set_location_assignment PIN_78 -to CON_A[1]
119set_location_assignment PIN_80 -to CON_A[2]
120set_location_assignment PIN_81 -to CON_A[3]
121set_location_assignment PIN_82 -to CON_A[4]
122set_location_assignment PIN_83 -to TRG[0]
123set_location_assignment PIN_84 -to TRG[1]
124set_location_assignment PIN_87 -to TRG[2]
125set_location_assignment PIN_88 -to TRG[3]
126set_location_assignment PIN_91 -to ADC_DCO
127set_location_assignment PIN_92 -to "ADC_DCO(n)"
128set_instance_assignment -name IO_STANDARD LVDS -to ADC_DCO
129set_location_assignment PIN_93 -to ADC_FCO
130set_location_assignment PIN_94 -to "ADC_FCO(n)"
131set_instance_assignment -name IO_STANDARD LVDS -to ADC_FCO
132set_location_assignment PIN_98 -to ADC_D[0]
133set_location_assignment PIN_99 -to "ADC_D[0](n)"
134set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[0]
135set_location_assignment PIN_108 -to ADC_D[1]
136set_location_assignment PIN_109 -to "ADC_D[1](n)"
137set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[1]
138set_location_assignment PIN_119 -to ADC_D[2]
139set_location_assignment PIN_120 -to "ADC_D[2](n)"
140set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[2]
141set_location_assignment PIN_126 -to CON_B[0]
142set_location_assignment PIN_127 -to CON_B[1]
143set_location_assignment PIN_128 -to CON_B[2]
144set_location_assignment PIN_131 -to CON_B[3]
145set_location_assignment PIN_132 -to CON_B[4]
146set_location_assignment PIN_133 -to CON_B[5]
147set_location_assignment PIN_134 -to CON_B[6]
148set_location_assignment PIN_135 -to CON_B[7]
149set_location_assignment PIN_137 -to CON_B[8]
150set_location_assignment PIN_139 -to CON_B[9]
151set_location_assignment PIN_142 -to CON_B[10]
152set_location_assignment PIN_143 -to CON_B[11]
153set_location_assignment PIN_144 -to CON_B[12]
154set_location_assignment PIN_145 -to CON_B[13]
155set_location_assignment PIN_146 -to CON_B[14]
156set_location_assignment PIN_147 -to CON_B[15]
157set_location_assignment PIN_149 -to CON_BCLK[0]
158set_location_assignment PIN_150 -to CON_BCLK[1]
159set_location_assignment PIN_151 -to CON_CCLK[0]
160set_location_assignment PIN_152 -to CON_CCLK[1]
161set_location_assignment PIN_159 -to CON_C[0]
162set_location_assignment PIN_160 -to CON_C[1]
163set_location_assignment PIN_161 -to CON_C[2]
164set_location_assignment PIN_162 -to CON_C[3]
165set_location_assignment PIN_164 -to CON_C[4]
166set_location_assignment PIN_166 -to CON_C[5]
167set_location_assignment PIN_167 -to CON_C[6]
168set_location_assignment PIN_168 -to CON_C[7]
169set_location_assignment PIN_169 -to CON_C[8]
170set_location_assignment PIN_171 -to CON_C[9]
171set_location_assignment PIN_173 -to CON_C[10]
172set_location_assignment PIN_176 -to CON_C[11]
173set_location_assignment PIN_177 -to CON_C[12]
174set_location_assignment PIN_181 -to RAM_DQB[7]
175set_location_assignment PIN_182 -to RAM_ADDR[6]
176set_location_assignment PIN_183 -to RAM_ADDR[7]
177set_location_assignment PIN_184 -to RAM_CE1
178set_location_assignment PIN_186 -to RAM_CLK
179set_location_assignment PIN_187 -to RAM_WE
180set_location_assignment PIN_188 -to RAM_ADDR[8]
181set_location_assignment PIN_189 -to RAM_ADDR[9]
182set_location_assignment PIN_194 -to RAM_ADDR[10]
183set_location_assignment PIN_195 -to RAM_ADDR[11]
184set_location_assignment PIN_196 -to RAM_ADDR[12]
185set_location_assignment PIN_197 -to RAM_DQAP
186set_location_assignment PIN_200 -to RAM_DQA[0]
187set_location_assignment PIN_201 -to RAM_DQA[1]
188set_location_assignment PIN_202 -to RAM_DQA[2]
189set_location_assignment PIN_203 -to RAM_DQA[3]
190set_location_assignment PIN_207 -to RAM_DQA[4]
191set_location_assignment PIN_214 -to RAM_DQA[5]
192set_location_assignment PIN_216 -to RAM_DQA[6]
193set_location_assignment PIN_217 -to RAM_DQA[7]
194set_location_assignment PIN_218 -to RAM_ADDR[13]
195set_location_assignment PIN_219 -to RAM_ADDR[14]
196set_location_assignment PIN_221 -to RAM_ADDR[15]
197set_location_assignment PIN_223 -to RAM_ADDR[16]
198set_location_assignment PIN_224 -to RAM_ADDR[17]
199set_location_assignment PIN_226 -to RAM_ADDR[18]
200set_location_assignment PIN_230 -to RAM_ADDR[19]
201set_location_assignment PIN_231 -to RAM_ADDR[0]
202set_location_assignment PIN_232 -to RAM_ADDR[1]
203set_location_assignment PIN_233 -to RAM_ADDR[2]
204set_location_assignment PIN_234 -to RAM_ADDR[3]
205set_location_assignment PIN_235 -to RAM_ADDR[4]
206set_location_assignment PIN_236 -to RAM_ADDR[5]
207set_location_assignment PIN_237 -to RAM_DQBP
208set_location_assignment PIN_238 -to RAM_DQB[0]
209set_location_assignment PIN_239 -to RAM_DQB[1]
210set_location_assignment PIN_240 -to RAM_DQB[2]
211set_location_assignment PIN_4 -to RAM_DQB[3]
212set_location_assignment PIN_5 -to RAM_DQB[4]
213set_location_assignment PIN_6 -to RAM_DQB[5]
214set_location_assignment PIN_9 -to RAM_DQB[6]
215set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED
216set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLK_50MHz
217set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGA
218set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGB
219set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGC
220set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA7
221set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA6
222set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA5
223set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA4
224set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA3
225set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA2
226set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA1
227set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA0
228set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[7]
229set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[6]
230set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[5]
231set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[4]
232set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[3]
233set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[2]
234set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[1]
235set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[0]
236set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_SLRD
237set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_SLWR
238set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_IFCLK
239set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SDA
240set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SCL
241set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[0]
242set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[1]
243set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[2]
244set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[3]
245set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[4]
246set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[0]
247set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[1]
248set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[2]
249set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[3]
250set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[0]
251set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[1]
252set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[2]
253set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[3]
254set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[4]
255set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[6]
256set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[7]
257set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[8]
258set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[9]
259set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[10]
260set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[11]
261set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[12]
262set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[5]
263set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[13]
264set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[14]
265set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[15]
266set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK[0]
267set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK[1]
268set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[0]
269set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[1]
270set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[2]
271set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[3]
272set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[4]
273set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[5]
274set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[6]
275set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[7]
276set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[8]
277set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[9]
278set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[10]
279set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[11]
280set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[12]
281set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_CCLK[0]
282set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_CCLK[1]
283set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[0]
284set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[1]
285set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[2]
286set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[3]
287set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[4]
288set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[5]
289set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[6]
290set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[7]
291set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[8]
292set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[9]
293set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[10]
294set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[11]
295set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[12]
296set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[13]
297set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[14]
298set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[15]
299set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[16]
300set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[17]
301set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[18]
302set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[19]
303set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_CLK
304set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_CE1
305set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_WE
306set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQAP
307set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[0]
308set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[1]
309set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[2]
310set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[3]
311set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[4]
312set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[5]
313set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[6]
314set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[7]
315set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQBP
316set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[0]
317set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[1]
318set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[2]
319set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[3]
320set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[4]
321set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[5]
322set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[6]
323set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[7]
Note: See TracBrowser for help on using the repository browser.