source: trunk/MultiChannelCOM/CII_Starter_TOP.qsf@ 102

Last change on this file since 102 was 3, checked in by demin, 15 years ago

first working version

File size: 16.3 KB
RevLine 
[3]1# -------------------------------------------------------------------------- #
2#
3# Copyright (C) 1991-2009 Altera Corporation
4# Your use of Altera Corporation's design tools, logic functions
5# and other software and tools, and its AMPP partner logic
6# functions, and any output files from any of the foregoing
7# (including device programming or simulation files), and any
8# associated documentation or information are expressly subject
9# to the terms and conditions of the Altera Program License
10# Subscription Agreement, Altera MegaCore Function License
11# Agreement, or other applicable license agreement, including,
12# without limitation, that your use is for the sole purpose of
13# programming logic devices manufactured by Altera and sold by
14# Altera or its authorized distributors. Please refer to the
15# applicable agreement for further details.
16#
17# -------------------------------------------------------------------------- #
18#
19# Quartus II
20# Version 9.0 Build 132 02/25/2009 SJ Web Edition
21# Date created = 10:00:59 June 16, 2009
22#
23# -------------------------------------------------------------------------- #
24#
25# Notes:
26#
27# 1) The default values for assignments are stored in the file:
28# CII_Starter_TOP_assignment_defaults.qdf
29# If this file doesn't exist, see file:
30# assignment_defaults.qdf
31#
32# 2) Altera recommends that you do not modify this file. This
33# file is updated automatically by the Quartus II software
34# and any changes you make may be lost or overwritten.
35#
36# -------------------------------------------------------------------------- #
37
38
39set_global_assignment -name FAMILY "Cyclone II"
40set_global_assignment -name DEVICE EP2C20F484C7
41set_global_assignment -name TOP_LEVEL_ENTITY CII_Starter_TOP
42set_global_assignment -name ORIGINAL_QUARTUS_VERSION 9.0
43set_global_assignment -name PROJECT_CREATION_TIME_DATE "10:00:59 JUNE 16, 2009"
44set_global_assignment -name LAST_QUARTUS_VERSION 9.0
45set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga
46set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
47set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484
48set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7
49set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
50set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
51set_global_assignment -name VERILOG_FILE CII_Starter_TOP.v
52set_global_assignment -name VERILOG_FILE adc_fifo.v
53set_global_assignment -name VERILOG_FILE baud_gen.v
54set_global_assignment -name VERILOG_FILE fifo.v
55set_global_assignment -name VERILOG_FILE fifo32x12.v
56set_global_assignment -name VERILOG_FILE fifo32x14.v
57set_global_assignment -name VERILOG_FILE histogram.v
58set_global_assignment -name VERILOG_FILE oscilloscope.v
59set_global_assignment -name VERILOG_FILE ram1024x16.v
60set_global_assignment -name VERILOG_FILE ram4096x32.v
61set_global_assignment -name VERILOG_FILE uart.v
62set_global_assignment -name VERILOG_FILE uart_rx.v
63set_global_assignment -name VERILOG_FILE uart_tx.v
64set_global_assignment -name VERILOG_FILE uwt_bior31.v
65set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
66set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
67set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
68set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS4
69set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
70set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
71set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
72set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
73set_location_assignment PIN_A13 -to GPIO_0[0]
74set_location_assignment PIN_B13 -to GPIO_0[1]
75set_location_assignment PIN_A14 -to GPIO_0[2]
76set_location_assignment PIN_B14 -to GPIO_0[3]
77set_location_assignment PIN_A15 -to GPIO_0[4]
78set_location_assignment PIN_B15 -to GPIO_0[5]
79set_location_assignment PIN_A16 -to GPIO_0[6]
80set_location_assignment PIN_B16 -to GPIO_0[7]
81set_location_assignment PIN_A17 -to GPIO_0[8]
82set_location_assignment PIN_B17 -to GPIO_0[9]
83set_location_assignment PIN_A18 -to GPIO_0[10]
84set_location_assignment PIN_B18 -to GPIO_0[11]
85set_location_assignment PIN_A19 -to GPIO_0[12]
86set_location_assignment PIN_B19 -to GPIO_0[13]
87set_location_assignment PIN_A20 -to GPIO_0[14]
88set_location_assignment PIN_B20 -to GPIO_0[15]
89set_location_assignment PIN_C21 -to GPIO_0[16]
90set_location_assignment PIN_C22 -to GPIO_0[17]
91set_location_assignment PIN_D21 -to GPIO_0[18]
92set_location_assignment PIN_D22 -to GPIO_0[19]
93set_location_assignment PIN_E21 -to GPIO_0[20]
94set_location_assignment PIN_E22 -to GPIO_0[21]
95set_location_assignment PIN_F21 -to GPIO_0[22]
96set_location_assignment PIN_F22 -to GPIO_0[23]
97set_location_assignment PIN_G21 -to GPIO_0[24]
98set_location_assignment PIN_G22 -to GPIO_0[25]
99set_location_assignment PIN_J21 -to GPIO_0[26]
100set_location_assignment PIN_J22 -to GPIO_0[27]
101set_location_assignment PIN_K21 -to GPIO_0[28]
102set_location_assignment PIN_K22 -to GPIO_0[29]
103set_location_assignment PIN_J19 -to GPIO_0[30]
104set_location_assignment PIN_J20 -to GPIO_0[31]
105set_location_assignment PIN_J18 -to GPIO_0[32]
106set_location_assignment PIN_K20 -to GPIO_0[33]
107set_location_assignment PIN_L19 -to GPIO_0[34]
108set_location_assignment PIN_L18 -to GPIO_0[35]
109set_location_assignment PIN_H12 -to GPIO_1[0]
110set_location_assignment PIN_H13 -to GPIO_1[1]
111set_location_assignment PIN_H14 -to GPIO_1[2]
112set_location_assignment PIN_G15 -to GPIO_1[3]
113set_location_assignment PIN_E14 -to GPIO_1[4]
114set_location_assignment PIN_E15 -to GPIO_1[5]
115set_location_assignment PIN_F15 -to GPIO_1[6]
116set_location_assignment PIN_G16 -to GPIO_1[7]
117set_location_assignment PIN_F12 -to GPIO_1[8]
118set_location_assignment PIN_F13 -to GPIO_1[9]
119set_location_assignment PIN_C14 -to GPIO_1[10]
120set_location_assignment PIN_D14 -to GPIO_1[11]
121set_location_assignment PIN_D15 -to GPIO_1[12]
122set_location_assignment PIN_D16 -to GPIO_1[13]
123set_location_assignment PIN_C17 -to GPIO_1[14]
124set_location_assignment PIN_C18 -to GPIO_1[15]
125set_location_assignment PIN_C19 -to GPIO_1[16]
126set_location_assignment PIN_C20 -to GPIO_1[17]
127set_location_assignment PIN_D19 -to GPIO_1[18]
128set_location_assignment PIN_D20 -to GPIO_1[19]
129set_location_assignment PIN_E20 -to GPIO_1[20]
130set_location_assignment PIN_F20 -to GPIO_1[21]
131set_location_assignment PIN_E19 -to GPIO_1[22]
132set_location_assignment PIN_E18 -to GPIO_1[23]
133set_location_assignment PIN_G20 -to GPIO_1[24]
134set_location_assignment PIN_G18 -to GPIO_1[25]
135set_location_assignment PIN_G17 -to GPIO_1[26]
136set_location_assignment PIN_H17 -to GPIO_1[27]
137set_location_assignment PIN_J15 -to GPIO_1[28]
138set_location_assignment PIN_H18 -to GPIO_1[29]
139set_location_assignment PIN_N22 -to GPIO_1[30]
140set_location_assignment PIN_N21 -to GPIO_1[31]
141set_location_assignment PIN_P15 -to GPIO_1[32]
142set_location_assignment PIN_N15 -to GPIO_1[33]
143set_location_assignment PIN_P17 -to GPIO_1[34]
144set_location_assignment PIN_P18 -to GPIO_1[35]
145set_location_assignment PIN_L22 -to SW[0]
146set_location_assignment PIN_L21 -to SW[1]
147set_location_assignment PIN_M22 -to SW[2]
148set_location_assignment PIN_V12 -to SW[3]
149set_location_assignment PIN_W12 -to SW[4]
150set_location_assignment PIN_U12 -to SW[5]
151set_location_assignment PIN_U11 -to SW[6]
152set_location_assignment PIN_M2 -to SW[7]
153set_location_assignment PIN_M1 -to SW[8]
154set_location_assignment PIN_L2 -to SW[9]
155set_location_assignment PIN_J2 -to HEX0[0]
156set_location_assignment PIN_J1 -to HEX0[1]
157set_location_assignment PIN_H2 -to HEX0[2]
158set_location_assignment PIN_H1 -to HEX0[3]
159set_location_assignment PIN_F2 -to HEX0[4]
160set_location_assignment PIN_F1 -to HEX0[5]
161set_location_assignment PIN_E2 -to HEX0[6]
162set_location_assignment PIN_E1 -to HEX1[0]
163set_location_assignment PIN_H6 -to HEX1[1]
164set_location_assignment PIN_H5 -to HEX1[2]
165set_location_assignment PIN_H4 -to HEX1[3]
166set_location_assignment PIN_G3 -to HEX1[4]
167set_location_assignment PIN_D2 -to HEX1[5]
168set_location_assignment PIN_D1 -to HEX1[6]
169set_location_assignment PIN_G5 -to HEX2[0]
170set_location_assignment PIN_G6 -to HEX2[1]
171set_location_assignment PIN_C2 -to HEX2[2]
172set_location_assignment PIN_C1 -to HEX2[3]
173set_location_assignment PIN_E3 -to HEX2[4]
174set_location_assignment PIN_E4 -to HEX2[5]
175set_location_assignment PIN_D3 -to HEX2[6]
176set_location_assignment PIN_F4 -to HEX3[0]
177set_location_assignment PIN_D5 -to HEX3[1]
178set_location_assignment PIN_D6 -to HEX3[2]
179set_location_assignment PIN_J4 -to HEX3[3]
180set_location_assignment PIN_L8 -to HEX3[4]
181set_location_assignment PIN_F3 -to HEX3[5]
182set_location_assignment PIN_D4 -to HEX3[6]
183set_location_assignment PIN_R22 -to KEY[0]
184set_location_assignment PIN_R21 -to KEY[1]
185set_location_assignment PIN_T22 -to KEY[2]
186set_location_assignment PIN_T21 -to KEY[3]
187set_location_assignment PIN_R20 -to LEDR[0]
188set_location_assignment PIN_R19 -to LEDR[1]
189set_location_assignment PIN_U19 -to LEDR[2]
190set_location_assignment PIN_Y19 -to LEDR[3]
191set_location_assignment PIN_T18 -to LEDR[4]
192set_location_assignment PIN_V19 -to LEDR[5]
193set_location_assignment PIN_Y18 -to LEDR[6]
194set_location_assignment PIN_U18 -to LEDR[7]
195set_location_assignment PIN_R18 -to LEDR[8]
196set_location_assignment PIN_R17 -to LEDR[9]
197set_location_assignment PIN_U22 -to LEDG[0]
198set_location_assignment PIN_U21 -to LEDG[1]
199set_location_assignment PIN_V22 -to LEDG[2]
200set_location_assignment PIN_V21 -to LEDG[3]
201set_location_assignment PIN_W22 -to LEDG[4]
202set_location_assignment PIN_W21 -to LEDG[5]
203set_location_assignment PIN_Y22 -to LEDG[6]
204set_location_assignment PIN_Y21 -to LEDG[7]
205set_location_assignment PIN_D12 -to CLOCK_27[0]
206set_location_assignment PIN_E12 -to CLOCK_27[1]
207set_location_assignment PIN_B12 -to CLOCK_24[0]
208set_location_assignment PIN_A12 -to CLOCK_24[1]
209set_location_assignment PIN_L1 -to CLOCK_50
210set_location_assignment PIN_M21 -to EXT_CLOCK
211set_location_assignment PIN_H15 -to PS2_CLK
212set_location_assignment PIN_J14 -to PS2_DAT
213set_location_assignment PIN_F14 -to UART_RXD
214set_location_assignment PIN_G12 -to UART_TXD
215set_location_assignment PIN_E8 -to TDI
216set_location_assignment PIN_D8 -to TCS
217set_location_assignment PIN_C7 -to TCK
218set_location_assignment PIN_D7 -to TDO
219set_location_assignment PIN_D9 -to VGA_R[0]
220set_location_assignment PIN_C9 -to VGA_R[1]
221set_location_assignment PIN_A7 -to VGA_R[2]
222set_location_assignment PIN_B7 -to VGA_R[3]
223set_location_assignment PIN_B8 -to VGA_G[0]
224set_location_assignment PIN_C10 -to VGA_G[1]
225set_location_assignment PIN_B9 -to VGA_G[2]
226set_location_assignment PIN_A8 -to VGA_G[3]
227set_location_assignment PIN_A9 -to VGA_B[0]
228set_location_assignment PIN_D11 -to VGA_B[1]
229set_location_assignment PIN_A10 -to VGA_B[2]
230set_location_assignment PIN_B10 -to VGA_B[3]
231set_location_assignment PIN_A11 -to VGA_HS
232set_location_assignment PIN_B11 -to VGA_VS
233set_location_assignment PIN_A3 -to I2C_SCLK
234set_location_assignment PIN_B3 -to I2C_SDAT
235set_location_assignment PIN_A6 -to AUD_ADCLRCK
236set_location_assignment PIN_B6 -to AUD_ADCDAT
237set_location_assignment PIN_A5 -to AUD_DACLRCK
238set_location_assignment PIN_B5 -to AUD_DACDAT
239set_location_assignment PIN_B4 -to AUD_XCK
240set_location_assignment PIN_A4 -to AUD_BCLK
241set_location_assignment PIN_W4 -to DRAM_ADDR[0]
242set_location_assignment PIN_W5 -to DRAM_ADDR[1]
243set_location_assignment PIN_Y3 -to DRAM_ADDR[2]
244set_location_assignment PIN_Y4 -to DRAM_ADDR[3]
245set_location_assignment PIN_R6 -to DRAM_ADDR[4]
246set_location_assignment PIN_R5 -to DRAM_ADDR[5]
247set_location_assignment PIN_P6 -to DRAM_ADDR[6]
248set_location_assignment PIN_P5 -to DRAM_ADDR[7]
249set_location_assignment PIN_P3 -to DRAM_ADDR[8]
250set_location_assignment PIN_N4 -to DRAM_ADDR[9]
251set_location_assignment PIN_W3 -to DRAM_ADDR[10]
252set_location_assignment PIN_N6 -to DRAM_ADDR[11]
253set_location_assignment PIN_U3 -to DRAM_BA_0
254set_location_assignment PIN_V4 -to DRAM_BA_1
255set_location_assignment PIN_T3 -to DRAM_CAS_N
256set_location_assignment PIN_N3 -to DRAM_CKE
257set_location_assignment PIN_U4 -to DRAM_CLK
258set_location_assignment PIN_T6 -to DRAM_CS_N
259set_location_assignment PIN_U1 -to DRAM_DQ[0]
260set_location_assignment PIN_U2 -to DRAM_DQ[1]
261set_location_assignment PIN_V1 -to DRAM_DQ[2]
262set_location_assignment PIN_V2 -to DRAM_DQ[3]
263set_location_assignment PIN_W1 -to DRAM_DQ[4]
264set_location_assignment PIN_W2 -to DRAM_DQ[5]
265set_location_assignment PIN_Y1 -to DRAM_DQ[6]
266set_location_assignment PIN_Y2 -to DRAM_DQ[7]
267set_location_assignment PIN_N1 -to DRAM_DQ[8]
268set_location_assignment PIN_N2 -to DRAM_DQ[9]
269set_location_assignment PIN_P1 -to DRAM_DQ[10]
270set_location_assignment PIN_P2 -to DRAM_DQ[11]
271set_location_assignment PIN_R1 -to DRAM_DQ[12]
272set_location_assignment PIN_R2 -to DRAM_DQ[13]
273set_location_assignment PIN_T1 -to DRAM_DQ[14]
274set_location_assignment PIN_T2 -to DRAM_DQ[15]
275set_location_assignment PIN_R7 -to DRAM_LDQM
276set_location_assignment PIN_T5 -to DRAM_RAS_N
277set_location_assignment PIN_M5 -to DRAM_UDQM
278set_location_assignment PIN_R8 -to DRAM_WE_N
279set_location_assignment PIN_AB20 -to FL_ADDR[0]
280set_location_assignment PIN_AA14 -to FL_ADDR[1]
281set_location_assignment PIN_Y16 -to FL_ADDR[2]
282set_location_assignment PIN_R15 -to FL_ADDR[3]
283set_location_assignment PIN_T15 -to FL_ADDR[4]
284set_location_assignment PIN_U15 -to FL_ADDR[5]
285set_location_assignment PIN_V15 -to FL_ADDR[6]
286set_location_assignment PIN_W15 -to FL_ADDR[7]
287set_location_assignment PIN_R14 -to FL_ADDR[8]
288set_location_assignment PIN_Y13 -to FL_ADDR[9]
289set_location_assignment PIN_R12 -to FL_ADDR[10]
290set_location_assignment PIN_T12 -to FL_ADDR[11]
291set_location_assignment PIN_AB14 -to FL_ADDR[12]
292set_location_assignment PIN_AA13 -to FL_ADDR[13]
293set_location_assignment PIN_AB13 -to FL_ADDR[14]
294set_location_assignment PIN_AA12 -to FL_ADDR[15]
295set_location_assignment PIN_AB12 -to FL_ADDR[16]
296set_location_assignment PIN_AA20 -to FL_ADDR[17]
297set_location_assignment PIN_U14 -to FL_ADDR[18]
298set_location_assignment PIN_V14 -to FL_ADDR[19]
299set_location_assignment PIN_U13 -to FL_ADDR[20]
300set_location_assignment PIN_R13 -to FL_ADDR[21]
301set_location_assignment PIN_AB16 -to FL_DQ[0]
302set_location_assignment PIN_AA16 -to FL_DQ[1]
303set_location_assignment PIN_AB17 -to FL_DQ[2]
304set_location_assignment PIN_AA17 -to FL_DQ[3]
305set_location_assignment PIN_AB18 -to FL_DQ[4]
306set_location_assignment PIN_AA18 -to FL_DQ[5]
307set_location_assignment PIN_AB19 -to FL_DQ[6]
308set_location_assignment PIN_AA19 -to FL_DQ[7]
309set_location_assignment PIN_AA15 -to FL_OE_N
310set_location_assignment PIN_W14 -to FL_RST_N
311set_location_assignment PIN_Y14 -to FL_WE_N
312set_location_assignment PIN_AA3 -to SRAM_ADDR[0]
313set_location_assignment PIN_AB3 -to SRAM_ADDR[1]
314set_location_assignment PIN_AA4 -to SRAM_ADDR[2]
315set_location_assignment PIN_AB4 -to SRAM_ADDR[3]
316set_location_assignment PIN_AA5 -to SRAM_ADDR[4]
317set_location_assignment PIN_AB10 -to SRAM_ADDR[5]
318set_location_assignment PIN_AA11 -to SRAM_ADDR[6]
319set_location_assignment PIN_AB11 -to SRAM_ADDR[7]
320set_location_assignment PIN_V11 -to SRAM_ADDR[8]
321set_location_assignment PIN_W11 -to SRAM_ADDR[9]
322set_location_assignment PIN_R11 -to SRAM_ADDR[10]
323set_location_assignment PIN_T11 -to SRAM_ADDR[11]
324set_location_assignment PIN_Y10 -to SRAM_ADDR[12]
325set_location_assignment PIN_U10 -to SRAM_ADDR[13]
326set_location_assignment PIN_R10 -to SRAM_ADDR[14]
327set_location_assignment PIN_T7 -to SRAM_ADDR[15]
328set_location_assignment PIN_Y6 -to SRAM_ADDR[16]
329set_location_assignment PIN_Y5 -to SRAM_ADDR[17]
330set_location_assignment PIN_AB5 -to SRAM_CE_N
331set_location_assignment PIN_AA6 -to SRAM_DQ[0]
332set_location_assignment PIN_AB6 -to SRAM_DQ[1]
333set_location_assignment PIN_AA7 -to SRAM_DQ[2]
334set_location_assignment PIN_AB7 -to SRAM_DQ[3]
335set_location_assignment PIN_AA8 -to SRAM_DQ[4]
336set_location_assignment PIN_AB8 -to SRAM_DQ[5]
337set_location_assignment PIN_AA9 -to SRAM_DQ[6]
338set_location_assignment PIN_AB9 -to SRAM_DQ[7]
339set_location_assignment PIN_Y9 -to SRAM_DQ[8]
340set_location_assignment PIN_W9 -to SRAM_DQ[9]
341set_location_assignment PIN_V9 -to SRAM_DQ[10]
342set_location_assignment PIN_U9 -to SRAM_DQ[11]
343set_location_assignment PIN_R9 -to SRAM_DQ[12]
344set_location_assignment PIN_W8 -to SRAM_DQ[13]
345set_location_assignment PIN_V8 -to SRAM_DQ[14]
346set_location_assignment PIN_U8 -to SRAM_DQ[15]
347set_location_assignment PIN_Y7 -to SRAM_LB_N
348set_location_assignment PIN_T8 -to SRAM_OE_N
349set_location_assignment PIN_W7 -to SRAM_UB_N
350set_location_assignment PIN_AA10 -to SRAM_WE_N
Note: See TracBrowser for help on using the repository browser.