source: trunk/3DEES/3DEES.qsf@ 191

Last change on this file since 191 was 191, checked in by demin, 10 years ago

replace Paella with 3DEES

File size: 13.9 KB
Line 
1# -------------------------------------------------------------------------- #
2#
3# Copyright (C) 1991-2009 Altera Corporation
4# Your use of Altera Corporation's design tools, logic functions
5# and other software and tools, and its AMPP partner logic
6# functions, and any output files from any of the foregoing
7# (including device programming or simulation files), and any
8# associated documentation or information are expressly subject
9# to the terms and conditions of the Altera Program License
10# Subscription Agreement, Altera MegaCore Function License
11# Agreement, or other applicable license agreement, including,
12# without limitation, that your use is for the sole purpose of
13# programming logic devices manufactured by Altera and sold by
14# Altera or its authorized distributors. Please refer to the
15# applicable agreement for further details.
16#
17# -------------------------------------------------------------------------- #
18#
19# Quartus II
20# Version 9.0 Build 132 02/25/2009 SJ Web Edition
21# Date created = 14:14:14 August 28, 2009
22#
23# -------------------------------------------------------------------------- #
24#
25# Notes:
26#
27# 1) The default values for assignments are stored in the file:
28# 3DEES_assignment_defaults.qdf
29# If this file doesn't exist, see file:
30# assignment_defaults.qdf
31#
32# 2) Altera recommends that you do not modify this file. This
33# file is updated automatically by the Quartus II software
34# and any changes you make may be lost or overwritten.
35#
36# -------------------------------------------------------------------------- #
37
38
39set_global_assignment -name FAMILY "Cyclone III"
40set_global_assignment -name DEVICE EP3C25Q240C8
41set_global_assignment -name TOP_LEVEL_ENTITY 3DEES
42set_global_assignment -name ORIGINAL_QUARTUS_VERSION 9.0
43set_global_assignment -name PROJECT_CREATION_TIME_DATE "14:14:14 AUGUST 28, 2009"
44set_global_assignment -name LAST_QUARTUS_VERSION "9.1 SP2"
45set_global_assignment -name DEVICE_FILTER_PACKAGE "ANY QFP"
46set_global_assignment -name DEVICE_FILTER_PIN_COUNT 240
47set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
48set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
49set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION OFF
50set_global_assignment -name MISC_FILE 3DEES.dpf
51set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
52set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER OFF
53set_global_assignment -name ENABLE_CLOCK_LATENCY ON
54set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
55set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
56set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
57set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
58set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
59set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS16
60set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
61set_global_assignment -name USE_CONFIGURATION_DEVICE ON
62set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE EPCS16
63set_global_assignment -name FORCE_CONFIGURATION_VCCIO ON
64set_global_assignment -name CONFIGURATION_VCCIO_LEVEL 3.3V
65set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
66set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
67set_global_assignment -name FMAX_REQUIREMENT "240 MHz" -section_id "LVDS Data Clock"
68set_instance_assignment -name CLOCK_SETTINGS "LVDS Data Clock" -to ADC_DCO
69set_global_assignment -name FMAX_REQUIREMENT "20 MHz" -section_id "LVDS Frame Clock"
70set_instance_assignment -name CLOCK_SETTINGS "LVDS Frame Clock" -to ADC_FCO
71set_global_assignment -name FMAX_REQUIREMENT "50 MHz" -section_id "USB Clock"
72set_instance_assignment -name CLOCK_SETTINGS "USB Clock" -to USB_IFCLK
73set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 1
74set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 2
75set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 3
76set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 4
77set_global_assignment -name IOBANK_VCCIO 2.5V -section_id 5
78set_global_assignment -name IOBANK_VCCIO 2.5V -section_id 6
79set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 7
80set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 8
81set_location_assignment PIN_21 -to LED
82set_location_assignment PIN_33 -to USB_IFCLK
83set_location_assignment PIN_37 -to USB_PA6
84set_location_assignment PIN_38 -to USB_PA4
85set_location_assignment PIN_39 -to USB_PA2
86set_location_assignment PIN_41 -to USB_FLAGB
87set_location_assignment PIN_43 -to USB_FLAGA
88set_location_assignment PIN_44 -to USB_PB[4]
89set_location_assignment PIN_45 -to USB_PB[5]
90set_location_assignment PIN_46 -to USB_PB[6]
91set_location_assignment PIN_49 -to USB_PB[7]
92set_location_assignment PIN_50 -to USB_SLRD
93set_location_assignment PIN_51 -to USB_SLWR
94set_location_assignment PIN_52 -to USB_PB[0]
95set_location_assignment PIN_55 -to USB_PB[1]
96set_location_assignment PIN_56 -to USB_PB[2]
97set_location_assignment PIN_57 -to USB_PB[3]
98set_location_assignment PIN_137 -to "ADC_D[0](n)"
99set_location_assignment PIN_139 -to ADC_D[0]
100set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[0]
101set_location_assignment PIN_142 -to "ADC_D[1](n)"
102set_location_assignment PIN_143 -to ADC_D[1]
103set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[1]
104set_location_assignment PIN_144 -to "ADC_D[2](n)"
105set_location_assignment PIN_145 -to ADC_D[2]
106set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[2]
107set_location_assignment PIN_147 -to "ADC_D[3](n)"
108set_location_assignment PIN_148 -to ADC_D[3]
109set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[3]
110set_location_assignment PIN_149 -to "ADC_DCO(n)"
111set_location_assignment PIN_150 -to ADC_DCO
112set_instance_assignment -name IO_STANDARD LVDS -to ADC_DCO
113set_location_assignment PIN_151 -to "ADC_FCO(n)"
114set_location_assignment PIN_152 -to ADC_FCO
115set_instance_assignment -name IO_STANDARD LVDS -to ADC_FCO
116set_location_assignment PIN_159 -to "ADC_D[4](n)"
117set_location_assignment PIN_160 -to ADC_D[4]
118set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[4]
119set_location_assignment PIN_162 -to "ADC_D[5](n)"
120set_location_assignment PIN_164 -to ADC_D[5]
121set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[5]
122set_location_assignment PIN_181 -to RAM_ADDR[12]
123set_location_assignment PIN_182 -to RAM_DQAP
124set_location_assignment PIN_183 -to RAM_DQA[0]
125set_location_assignment PIN_184 -to RAM_DQA[1]
126set_location_assignment PIN_185 -to RAM_DQA[2]
127set_location_assignment PIN_186 -to RAM_DQA[3]
128set_location_assignment PIN_187 -to RAM_DQA[4]
129set_location_assignment PIN_188 -to RAM_DQA[5]
130set_location_assignment PIN_189 -to RAM_DQA[6]
131set_location_assignment PIN_194 -to RAM_DQA[7]
132set_location_assignment PIN_195 -to RAM_ADDR[13]
133set_location_assignment PIN_196 -to RAM_ADDR[14]
134set_location_assignment PIN_197 -to RAM_ADDR[15]
135set_location_assignment PIN_200 -to RAM_ADDR[16]
136set_location_assignment PIN_201 -to RAM_ADDR[17]
137set_location_assignment PIN_202 -to RAM_ADDR[18]
138set_location_assignment PIN_203 -to RAM_ADDR[19]
139set_location_assignment PIN_207 -to RAM_ADDR[20]
140set_location_assignment PIN_210 -to CLK_100MHz
141set_location_assignment PIN_214 -to RAM_ADDR[21]
142set_location_assignment PIN_216 -to RAM_ADDR[0]
143set_location_assignment PIN_217 -to RAM_ADDR[1]
144set_location_assignment PIN_218 -to RAM_ADDR[2]
145set_location_assignment PIN_219 -to RAM_ADDR[3]
146set_location_assignment PIN_221 -to RAM_ADDR[4]
147set_location_assignment PIN_223 -to RAM_ADDR[5]
148set_location_assignment PIN_224 -to RAM_DQBP
149set_location_assignment PIN_226 -to RAM_DQB[0]
150set_location_assignment PIN_230 -to RAM_DQB[1]
151set_location_assignment PIN_231 -to RAM_DQB[2]
152set_location_assignment PIN_232 -to RAM_DQB[3]
153set_location_assignment PIN_233 -to RAM_DQB[4]
154set_location_assignment PIN_234 -to RAM_DQB[5]
155set_location_assignment PIN_235 -to RAM_DQB[6]
156set_location_assignment PIN_236 -to RAM_DQB[7]
157set_location_assignment PIN_237 -to RAM_ADDR[6]
158set_location_assignment PIN_238 -to RAM_ADDR[7]
159set_location_assignment PIN_239 -to RAM_CLK
160set_location_assignment PIN_240 -to RAM_WE
161set_location_assignment PIN_4 -to RAM_ADDR[8]
162set_location_assignment PIN_5 -to RAM_ADDR[9]
163set_location_assignment PIN_6 -to RAM_ADDR[10]
164set_location_assignment PIN_9 -to RAM_ADDR[11]
165set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED
166set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLK_100MHz
167set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGA
168set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGB
169set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA6
170set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA4
171set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA2
172set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[7]
173set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[6]
174set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[5]
175set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[4]
176set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[3]
177set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[2]
178set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[1]
179set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[0]
180set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_SLRD
181set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_SLWR
182set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_IFCLK
183set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[0]
184set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[1]
185set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[2]
186set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[3]
187set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[4]
188set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[5]
189set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[6]
190set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[7]
191set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[8]
192set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[9]
193set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[10]
194set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[11]
195set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[12]
196set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[13]
197set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[14]
198set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[15]
199set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[16]
200set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[17]
201set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[18]
202set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[19]
203set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[20]
204set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[21]
205set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_CLK
206set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_WE
207set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQAP
208set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[0]
209set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[1]
210set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[2]
211set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[3]
212set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[4]
213set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[5]
214set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[6]
215set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[7]
216set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQBP
217set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[0]
218set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[1]
219set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[2]
220set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[3]
221set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[4]
222set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[5]
223set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[6]
224set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[7]
225
226set_global_assignment -name VERILOG_FILE 3DEES.v
227set_global_assignment -name VERILOG_FILE adc_lvds.v
228set_global_assignment -name VERILOG_FILE sys_pll.v
229set_global_assignment -name VERILOG_FILE control.v
230set_global_assignment -name VERILOG_FILE analyser.v
231set_global_assignment -name VERILOG_FILE classifier.v
232set_global_assignment -name VERILOG_FILE amplitude.v
233set_global_assignment -name VERILOG_FILE deconv.v
234set_global_assignment -name VERILOG_FILE shift.v
235set_global_assignment -name VERILOG_FILE delay.v
236set_global_assignment -name VERILOG_FILE coincidence.v
237set_global_assignment -name VERILOG_FILE counter.v
238set_global_assignment -name VERILOG_FILE histogram32.v
239set_global_assignment -name VERILOG_FILE trigger.v
240set_global_assignment -name VERILOG_FILE oscilloscope.v
241set_global_assignment -name VERILOG_FILE configuration.v
242set_global_assignment -name VERILOG_FILE usb_fifo.v
243set_global_assignment -name VERILOG_FILE test.v
244set_global_assignment -name MIF_FILE test.mif
245set_global_assignment -name VERILOG_FILE average.v
246set_global_assignment -name VERILOG_FILE filter.v
247set_global_assignment -name VERILOG_FILE new_filter.v
248set_global_assignment -name VERILOG_FILE clip.v
249set_global_assignment -name FMAX_REQUIREMENT "100 MHz" -section_id "100 MHz Clock"
250set_instance_assignment -name CLOCK_SETTINGS "100 MHz Clock" -to CLK_100MHz
251set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
Note: See TracBrowser for help on using the repository browser.