source: sandbox/MultiChannelUSB/test.v@ 116

Last change on this file since 116 was 108, checked in by demin, 14 years ago

few minor fixes

File size: 1.1 KB
Line 
1module test
2 (
3 input wire clock,
4 output wire [11:0] data
5 );
6
7 reg [11:0] int_addr;
8
9 always @(posedge clock)
10 begin
11 if (int_addr == 12'd2559)
12 begin
13 int_addr <= 12'd0;
14 end
15 else
16 begin
17 int_addr <= int_addr + 12'd1;
18 end
19
20 end
21
22 altsyncram #(
23 .address_aclr_a("NONE"),
24 .clock_enable_input_a("BYPASS"),
25 .clock_enable_output_a("BYPASS"),
26 .init_file("test.mif"),
27 .intended_device_family("Cyclone III"),
28 .lpm_hint("ENABLE_RUNTIME_MOD=NO"),
29 .lpm_type("altsyncram"),
30 .numwords_a(2560),
31 .operation_mode("ROM"),
32 .outdata_aclr_a("NONE"),
33 .outdata_reg_a("CLOCK0"),
34 .widthad_a(12),
35 .width_a(12),
36 .width_byteena_a(1)) test_rom_unit (
37 .clock0(clock),
38 .address_a(int_addr),
39 .q_a(data),
40 .aclr0(1'b0),
41 .aclr1(1'b0),
42 .address_b(1'b1),
43 .addressstall_a(1'b0),
44 .addressstall_b(1'b0),
45 .byteena_a(1'b1),
46 .byteena_b(1'b1),
47 .clock1(1'b1),
48 .clocken0(1'b1),
49 .clocken1(1'b1),
50 .clocken2(1'b1),
51 .clocken3(1'b1),
52 .data_a({12{1'b1}}),
53 .data_b(1'b1),
54 .eccstatus(),
55 .q_b(),
56 .rden_a(1'b1),
57 .rden_b(1'b1),
58 .wren_a(1'b0),
59 .wren_b(1'b0));
60
61endmodule
Note: See TracBrowser for help on using the repository browser.