source: sandbox/MultiChannelUSB/Paella.qsf@ 108

Last change on this file since 108 was 108, checked in by demin, 14 years ago

few minor fixes

File size: 18.3 KB
Line 
1# -------------------------------------------------------------------------- #
2#
3# Copyright (C) 1991-2009 Altera Corporation
4# Your use of Altera Corporation's design tools, logic functions
5# and other software and tools, and its AMPP partner logic
6# functions, and any output files from any of the foregoing
7# (including device programming or simulation files), and any
8# associated documentation or information are expressly subject
9# to the terms and conditions of the Altera Program License
10# Subscription Agreement, Altera MegaCore Function License
11# Agreement, or other applicable license agreement, including,
12# without limitation, that your use is for the sole purpose of
13# programming logic devices manufactured by Altera and sold by
14# Altera or its authorized distributors. Please refer to the
15# applicable agreement for further details.
16#
17# -------------------------------------------------------------------------- #
18#
19# Quartus II
20# Version 9.0 Build 132 02/25/2009 SJ Web Edition
21# Date created = 14:14:14 August 28, 2009
22#
23# -------------------------------------------------------------------------- #
24#
25# Notes:
26#
27# 1) The default values for assignments are stored in the file:
28# Paella_assignment_defaults.qdf
29# If this file doesn't exist, see file:
30# assignment_defaults.qdf
31#
32# 2) Altera recommends that you do not modify this file. This
33# file is updated automatically by the Quartus II software
34# and any changes you make may be lost or overwritten.
35#
36# -------------------------------------------------------------------------- #
37
38
39set_global_assignment -name FAMILY "Cyclone III"
40set_global_assignment -name DEVICE EP3C25Q240C8
41set_global_assignment -name TOP_LEVEL_ENTITY Paella
42set_global_assignment -name ORIGINAL_QUARTUS_VERSION 9.0
43set_global_assignment -name PROJECT_CREATION_TIME_DATE "14:14:14 AUGUST 28, 2009"
44set_global_assignment -name LAST_QUARTUS_VERSION "9.1 SP2"
45set_global_assignment -name DEVICE_FILTER_PACKAGE "ANY QFP"
46set_global_assignment -name DEVICE_FILTER_PIN_COUNT 240
47set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
48set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
49set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION OFF
50set_global_assignment -name MISC_FILE Paella.dpf
51set_global_assignment -name MISC_FILE "C:/altera/project_12/Paella.dpf"
52set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
53set_global_assignment -name VERILOG_FILE cic_pipeline.v
54set_global_assignment -name VERILOG_FILE cic_filter.v
55set_global_assignment -name VERILOG_FILE Paella.v
56set_global_assignment -name VERILOG_FILE adc_lvds.v
57set_global_assignment -name VERILOG_FILE sys_pll.v
58set_global_assignment -name VERILOG_FILE control.v
59set_global_assignment -name VERILOG_FILE analyser.v
60set_global_assignment -name VERILOG_FILE amplitude.v
61set_global_assignment -name VERILOG_FILE delay.v
62set_global_assignment -name VERILOG_FILE coincidence.v
63set_global_assignment -name VERILOG_FILE counter.v
64set_global_assignment -name VERILOG_FILE histogram32.v
65set_global_assignment -name VERILOG_FILE histogram16.v
66set_global_assignment -name VERILOG_FILE trigger.v
67set_global_assignment -name VERILOG_FILE oscilloscope.v
68set_global_assignment -name VERILOG_FILE configuration.v
69set_global_assignment -name VERILOG_FILE usb_fifo.v
70set_global_assignment -name VERILOG_FILE i2c_fifo.v
71set_global_assignment -name VERILOG_FILE test.v
72set_global_assignment -name MIF_FILE test.mif
73set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER OFF
74set_global_assignment -name ENABLE_CLOCK_LATENCY ON
75set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
76set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
77set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
78set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
79set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
80set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS16
81set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
82set_global_assignment -name USE_CONFIGURATION_DEVICE ON
83set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE EPCS16
84set_global_assignment -name FORCE_CONFIGURATION_VCCIO ON
85set_global_assignment -name CONFIGURATION_VCCIO_LEVEL 3.3V
86set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
87set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
88set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 1
89set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 2
90set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 3
91set_global_assignment -name IOBANK_VCCIO 2.5V -section_id 4
92set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 5
93set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 6
94set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 7
95set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 8
96set_location_assignment PIN_21 -to LED
97set_location_assignment PIN_33 -to CLK_50MHz
98set_location_assignment PIN_37 -to USB_PA7
99set_location_assignment PIN_38 -to USB_PA6
100set_location_assignment PIN_39 -to USB_PA5
101set_location_assignment PIN_41 -to USB_PA4
102set_location_assignment PIN_43 -to USB_PA3
103set_location_assignment PIN_44 -to USB_PA2
104set_location_assignment PIN_45 -to USB_PA1
105set_location_assignment PIN_46 -to USB_PA0
106set_location_assignment PIN_49 -to USB_FLAGC
107set_location_assignment PIN_50 -to USB_FLAGB
108set_location_assignment PIN_51 -to USB_FLAGA
109set_location_assignment PIN_52 -to USB_PB[7]
110set_location_assignment PIN_55 -to USB_PB[6]
111set_location_assignment PIN_56 -to USB_PB[5]
112set_location_assignment PIN_57 -to USB_PB[4]
113set_location_assignment PIN_63 -to USB_SLRD
114set_location_assignment PIN_64 -to USB_SLWR
115set_location_assignment PIN_65 -to USB_IFCLK
116set_location_assignment PIN_68 -to USB_PB[0]
117set_location_assignment PIN_69 -to USB_PB[1]
118set_location_assignment PIN_70 -to USB_PB[2]
119set_location_assignment PIN_71 -to USB_PB[3]
120set_location_assignment PIN_72 -to I2C_SDA
121set_location_assignment PIN_73 -to I2C_SCL
122set_location_assignment PIN_76 -to CON_A[0]
123set_location_assignment PIN_78 -to CON_A[1]
124set_location_assignment PIN_80 -to CON_A[2]
125set_location_assignment PIN_81 -to CON_A[3]
126set_location_assignment PIN_82 -to CON_A[4]
127set_location_assignment PIN_83 -to TRG[0]
128set_location_assignment PIN_84 -to TRG[1]
129set_location_assignment PIN_87 -to TRG[2]
130set_location_assignment PIN_88 -to TRG[3]
131set_location_assignment PIN_91 -to ADC_DCO
132set_location_assignment PIN_92 -to "ADC_DCO(n)"
133set_instance_assignment -name IO_STANDARD LVDS -to ADC_DCO
134set_location_assignment PIN_93 -to ADC_FCO
135set_location_assignment PIN_94 -to "ADC_FCO(n)"
136set_instance_assignment -name IO_STANDARD LVDS -to ADC_FCO
137set_location_assignment PIN_98 -to ADC_D[0]
138set_location_assignment PIN_99 -to "ADC_D[0](n)"
139set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[0]
140set_location_assignment PIN_108 -to ADC_D[1]
141set_location_assignment PIN_109 -to "ADC_D[1](n)"
142set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[1]
143set_location_assignment PIN_119 -to ADC_D[2]
144set_location_assignment PIN_120 -to "ADC_D[2](n)"
145set_instance_assignment -name IO_STANDARD LVDS -to ADC_D[2]
146set_location_assignment PIN_126 -to CON_B[0]
147set_location_assignment PIN_127 -to CON_B[1]
148set_location_assignment PIN_128 -to CON_B[2]
149set_location_assignment PIN_131 -to CON_B[3]
150set_location_assignment PIN_132 -to CON_B[4]
151set_location_assignment PIN_133 -to CON_B[5]
152set_location_assignment PIN_134 -to CON_B[6]
153set_location_assignment PIN_135 -to CON_B[7]
154set_location_assignment PIN_137 -to CON_B[8]
155set_location_assignment PIN_139 -to CON_B[9]
156set_location_assignment PIN_142 -to CON_B[10]
157set_location_assignment PIN_143 -to CON_B[11]
158set_location_assignment PIN_144 -to CON_B[12]
159set_location_assignment PIN_145 -to CON_B[13]
160set_location_assignment PIN_146 -to CON_B[14]
161set_location_assignment PIN_147 -to CON_B[15]
162set_location_assignment PIN_149 -to CON_BCLK[0]
163set_location_assignment PIN_150 -to CON_BCLK[1]
164set_location_assignment PIN_151 -to CON_CCLK[0]
165set_location_assignment PIN_152 -to CON_CCLK[1]
166set_location_assignment PIN_159 -to CON_C[0]
167set_location_assignment PIN_160 -to CON_C[1]
168set_location_assignment PIN_161 -to CON_C[2]
169set_location_assignment PIN_162 -to CON_C[3]
170set_location_assignment PIN_164 -to CON_C[4]
171set_location_assignment PIN_166 -to CON_C[5]
172set_location_assignment PIN_167 -to CON_C[6]
173set_location_assignment PIN_168 -to CON_C[7]
174set_location_assignment PIN_169 -to CON_C[8]
175set_location_assignment PIN_171 -to CON_C[9]
176set_location_assignment PIN_173 -to CON_C[10]
177set_location_assignment PIN_176 -to CON_C[11]
178set_location_assignment PIN_177 -to CON_C[12]
179set_location_assignment PIN_181 -to RAM_DQB[7]
180set_location_assignment PIN_182 -to RAM_ADDR[6]
181set_location_assignment PIN_183 -to RAM_ADDR[7]
182set_location_assignment PIN_184 -to RAM_CE1
183set_location_assignment PIN_186 -to RAM_CLK
184set_location_assignment PIN_187 -to RAM_WE
185set_location_assignment PIN_188 -to RAM_ADDR[8]
186set_location_assignment PIN_189 -to RAM_ADDR[9]
187set_location_assignment PIN_194 -to RAM_ADDR[10]
188set_location_assignment PIN_195 -to RAM_ADDR[11]
189set_location_assignment PIN_196 -to RAM_ADDR[12]
190set_location_assignment PIN_197 -to RAM_DQAP
191set_location_assignment PIN_200 -to RAM_DQA[0]
192set_location_assignment PIN_201 -to RAM_DQA[1]
193set_location_assignment PIN_202 -to RAM_DQA[2]
194set_location_assignment PIN_203 -to RAM_DQA[3]
195set_location_assignment PIN_207 -to RAM_DQA[4]
196set_location_assignment PIN_214 -to RAM_DQA[5]
197set_location_assignment PIN_216 -to RAM_DQA[6]
198set_location_assignment PIN_217 -to RAM_DQA[7]
199set_location_assignment PIN_218 -to RAM_ADDR[13]
200set_location_assignment PIN_219 -to RAM_ADDR[14]
201set_location_assignment PIN_221 -to RAM_ADDR[15]
202set_location_assignment PIN_223 -to RAM_ADDR[16]
203set_location_assignment PIN_224 -to RAM_ADDR[17]
204set_location_assignment PIN_226 -to RAM_ADDR[18]
205set_location_assignment PIN_230 -to RAM_ADDR[19]
206set_location_assignment PIN_231 -to RAM_ADDR[0]
207set_location_assignment PIN_232 -to RAM_ADDR[1]
208set_location_assignment PIN_233 -to RAM_ADDR[2]
209set_location_assignment PIN_234 -to RAM_ADDR[3]
210set_location_assignment PIN_235 -to RAM_ADDR[4]
211set_location_assignment PIN_236 -to RAM_ADDR[5]
212set_location_assignment PIN_237 -to RAM_DQBP
213set_location_assignment PIN_238 -to RAM_DQB[0]
214set_location_assignment PIN_239 -to RAM_DQB[1]
215set_location_assignment PIN_240 -to RAM_DQB[2]
216set_location_assignment PIN_4 -to RAM_DQB[3]
217set_location_assignment PIN_5 -to RAM_DQB[4]
218set_location_assignment PIN_6 -to RAM_DQB[5]
219set_location_assignment PIN_9 -to RAM_DQB[6]
220set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED
221set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLK_50MHz
222set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGA
223set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGB
224set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_FLAGC
225set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA7
226set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA6
227set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA5
228set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA4
229set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA3
230set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA2
231set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA1
232set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PA0
233set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[7]
234set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[6]
235set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[5]
236set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[4]
237set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[3]
238set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[2]
239set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[1]
240set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_PB[0]
241set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_SLRD
242set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_SLWR
243set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_IFCLK
244set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SDA
245set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SCL
246set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[0]
247set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[1]
248set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[2]
249set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[3]
250set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_A[4]
251set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[0]
252set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[1]
253set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[2]
254set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TRG[3]
255set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[0]
256set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[1]
257set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[2]
258set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[3]
259set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[4]
260set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[6]
261set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[7]
262set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[8]
263set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[9]
264set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[10]
265set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[11]
266set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[12]
267set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[5]
268set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[13]
269set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[14]
270set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_B[15]
271set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK[0]
272set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_BCLK[1]
273set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[0]
274set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[1]
275set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[2]
276set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[3]
277set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[4]
278set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[5]
279set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[6]
280set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[7]
281set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[8]
282set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[9]
283set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[10]
284set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[11]
285set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_C[12]
286set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_CCLK[0]
287set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CON_CCLK[1]
288set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[0]
289set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[1]
290set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[2]
291set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[3]
292set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[4]
293set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[5]
294set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[6]
295set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[7]
296set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[8]
297set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[9]
298set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[10]
299set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[11]
300set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[12]
301set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[13]
302set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[14]
303set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[15]
304set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[16]
305set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[17]
306set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[18]
307set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_ADDR[19]
308set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_CLK
309set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_CE1
310set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_WE
311set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQAP
312set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[0]
313set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[1]
314set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[2]
315set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[3]
316set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[4]
317set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[5]
318set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[6]
319set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQA[7]
320set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQBP
321set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[0]
322set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[1]
323set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[2]
324set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[3]
325set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[4]
326set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[5]
327set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[6]
328set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAM_DQB[7]
329
330set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
Note: See TracBrowser for help on using the repository browser.